您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. FT245BM与FPGA的USB接口电路设计

  2. 在实际工作中用FPGA外部直接连接一片USB协议芯片FT245BM,实现了FPGA与PC机的USB通信,该方法不用微控制器,减少了元器件的个数,并且占用FPGA资源很少,FPGA仍然可以实现其他逻辑功能,系统设计的灵活性很大。
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:142336
    • 提供者:weixin_38601311
  1. 基于FT245BM和FPGA的USB接口设计

  2. 介绍了USB 协议芯片FT245BM的工作原理,设计了FT245BM与FPGA的接口电路,给出了FPGA发送和接收数据帧状态机的Verilog语言的描述,并介绍了PC机软件的设计方法。该电路被成功地应用到光纤陀螺多路测试系统中,简化了电路设计,提高了测试效率。该设计具有很强的通用性。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:135168
    • 提供者:weixin_38628310