您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Hastlayer-SDK:将.NET程序集转换为FPGA硬件,以加快执行速度并降低功耗。 请参阅自述文件和https:hastlayer.com-源码

  2. Hastlayer SDK自述文件 总览 是硬件。 Hastlayer自动将程序集转换为计算机芯片,从而为大型并行应用程序提高性能并降低功耗。 Hastlayer使用 (可以即时“重新连接”的芯片):只需选择.NET程序的计算绑定部分,然后Hastlayer即可将其与生成的FPGA实现无缝交换。 由于.NET中间语言程序集(而不是C#,VisualBasic或其他代码)已经过转换,因此,理论上您可以使用任何.NET语言(例如C#,VB,F#-包括示例,C ++,Python,PHP和Javas
  3. 所属分类:其它

    • 发布日期:2021-02-05
    • 文件大小:940032
    • 提供者:weixin_42112685