您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. ARM9嵌入式系统设计基础

  2. 全书共分13章。第1章介绍了嵌入式系统的定义和组成、嵌入式微处理器体系结构和类型。第2章介绍了ARM微处理器结构、寄存器结构、异常处理、存储器结构、指令系统和接口。第3章介绍了S3C2410A的存储器控制器、时钟和电源管理、I/O口、中断控制、DMA控制器的内部结构和寄存器以及编程方法。第4章介绍了嵌入式系统存储设备分类与层次结构、NOR Flash接口、NAND Flash接口、SDRAM接口、CF卡接口、SD卡接口、IDE接口的基本原理、电路结构与读/写操作方法;第5章介绍了嵌入式系统的G
  3. 所属分类:硬件开发

    • 发布日期:2009-05-21
    • 文件大小:6291456
    • 提供者:ARM110
  1. 基于arm9的智能小车设计

  2. 本系统包括发送端和接收端2部分,两部分均采用Samsung公司生产的S3C2410处理器做硬件开发平台。S3C2410在片上集成了丰富的组件:分开的16 KB指令Cache和16 KB数据Cache、用于虚拟存储器管理的MMU、支持STN和TFT的LCD控制器、NAND Flash启动装载器、具有片选逻辑和SDRAM控制器的系统管理器、3通道UART、4通道DMA、4通道PWM定时器、I/O口、RTC、8通道10位ADC和触摸屏接口、I2C总线接口、I2S总线接口、USB主设备、USB从设备、
  3. 所属分类:C

    • 发布日期:2010-04-13
    • 文件大小:6144
    • 提供者:wangyanzhoyo
  1. I2S总线概述(飞利浦公司)

  2. 音响数据的采集、处理和传输是多媒体技术的重要组成部分。众多的数字音频系统已经进入消费市场,例如数字音频录音带、数字声音处理器。对于设备和生产厂家来说,标准化的信息传输结构可以提高系统的适应性。I2S(Inter—IC Sound)总线是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专责于音频设备之间的数据传输,广泛应用于各种多媒体系统。它采用了沿独立的导线传输时钟与数据信号的设计,通过将数据和时钟信号分离,避免了因时差诱发的失真,为用户节省了购买抵抗音频抖动的专业设备的
  3. 所属分类:专业指导

    • 发布日期:2010-05-24
    • 文件大小:61440
    • 提供者:gengjichao
  1. 基于IIS 总线的嵌入式音频系统设计

  2. 摘要:音频系统设计包括软件设计和硬件设计两方面,在硬件上使用了基于IIS 总线的音频系统体系结构。IIS(Inter-IC Sound bus)又称I2S,是菲利浦公司提出的串行数字音频总线协议。目前很多音频芯片和MCU 都提供了对IIS 的支持。而嵌入式音频系统可广泛应用于GPS 自动导航、PDA、3G 手机等嵌入式领域,但目前国内在这方面的研究较少。文中对基于IIS总线嵌入式音频的系统做了简要的介绍。
  3. 所属分类:Web开发

    • 发布日期:2008-04-06
    • 文件大小:167936
    • 提供者:ljqlaq
  1. I2S总线详解

  2. I2S总线概述   音响数据的采集、处理和传输是多媒体技术的重要组成部分。众多的数字音频系统已经进入消费市场,例如数字音频录音带、数字声音处理器。对于设备和生产厂家来说,标准化的信息传输结构可以提高系统的适应性。I2S(Inter—IC Sound)总线是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专责于音频设备之间的数据传输,广泛应用于各种多媒体系统。它采用了沿独立的导线传输时钟与数据信号的设计,通过将数据和时钟信号分离,避免了因时差诱发的失真,为用户节省了购买抵抗
  3. 所属分类:硬件开发

    • 发布日期:2011-11-07
    • 文件大小:60416
    • 提供者:michael_ttt
  1. 嵌入式课件

  2. ARM9嵌入式系统设计基础教程ppt 第1章 嵌入式系统基础知识 1.1 嵌入式系统的定义和组成 1.1.1 嵌入式系统的定义 1.1.2 嵌入式系统发展趋势 1.1.3 嵌入式系统的组成 1.1.4 实时系统 1.2 嵌入式微处理器体系结构 1.2.1 冯•诺依曼结构与哈佛结构 1.2.2 精简指令集计算机 1.2.3 流水线技术 1.2.4 信息存储的字节顺序 1.3 嵌入式微处理器的结构和类型 1.3.1 嵌入式微控制器 1.3.2 嵌入式微处理器 1.3.3 嵌入式DSP处理器 1.3
  3. 所属分类:嵌入式

    • 发布日期:2012-04-06
    • 文件大小:7340032
    • 提供者:lyjdqpi
  1. I2S总线接口IP Core的设计

  2. I2S总线接口IP Core的设计 I2S 接口介绍 I2S IP Core 的设计 测试、仿真和验证 结论
  3. 所属分类:硬件开发

    • 发布日期:2013-11-25
    • 文件大小:596992
    • 提供者:u012947335
  1. 基于AMBA总线音频接口的设计与实现

  2. 随着集成电路设计技术及制造技术的发展 片上系统 SoC 已成为超大规模集成电 路领域主流技术之一"SoC 一般集成多个 IP 核 其中音频 IP 核是较为常用的一种"数字 音频技术发展较快 并随之产生了很多音频规范"在众多的数字音频接口规范中 I2S 和 S PDIF 音频协议标准具有传输简便 设计灵活等优点 在音频系统中广泛使用" 本文基于 AMBA APB 总线协议 设计实现了数字音频输出接口 IP 核 完成音频数据 从 APB 总线到 I2S 和
  3. 所属分类:其它

    • 发布日期:2014-08-18
    • 文件大小:385
    • 提供者:mekel
  1. I2S总线规范

  2. 音响数据的采集、处理和传输是多媒体技术的重要组成部分。众多的数字音频系统已经进入消费市场,例如数字音频录音带、数字声音处理器。对于设备和生产厂家来说,标准化的信息传输结构可以提高系统的适应性。I2S(Inter—IC Sound)总线是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专责于音频设备之间的数据传输,广泛应用于各种多媒体系统。它采用了沿独立的导线传输时钟与数据信号的设计,通过将数据和时钟信号分离,避免了因时差诱发的失真,为用户节省了购买抵抗音频抖动的专业设备的
  3. 所属分类:硬件开发

    • 发布日期:2018-06-12
    • 文件大小:103424
    • 提供者:weixin_41972267
  1. 基于FPGA实现的PCI-I2S接口转换电路

  2. 提出了一种基于FPGA实现的PCI-I2S音频系统方法。通过在FPGA中将PCI软核、FIFO以及设计的接口电路等相结合,在FPGA上实现了 PCI、I2C、I2S等多种总线,并且结合音频解码器实现了不同采样频率语音数据的传输以及播放功能。系统充分利用FPGA的片上资源及其可编程特性,减少了硬件电路的复杂度。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:91136
    • 提供者:weixin_38651450
  1. 嵌入式系统/ARM技术中的Windows CE下基于TSC2101音频系统的设计与实现

  2. 近年来掀起了嵌入式系统应用的热潮,嵌入式系统已经渗透到我们生活中的每个角落,工业、服务业、消费电子等等。Windows CE是一个开放的、可裁剪的、32位的实时嵌入式操作系统。它具有可靠性好、实时性高、内核体积小的特点,被广泛用于各种嵌入式智能设备的开发;在这些嵌入式应用中,音频模块成为了大多数产品不可或缺的一部份。   音频系统的硬件实现   本设计中的音频驱动采用Unified Audio模型实现,基于Intel Xscale PXA272处理器和TI 的TSC2101音频芯片,使用了基于I
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:129024
    • 提供者:weixin_38605188
  1. 基于I2S的USB 声卡系统设计

  2. 本文介绍了基于S3C2410处理器平台,利用I2S总线的USB声卡系统设计。详细阐述了USB声卡通讯的实现,并且根据I2S总线DMA传输的特点实现了环形缓冲区,以提高系统性能,满足音频实时性的要求。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:157696
    • 提供者:weixin_38558246
  1. 嵌入式系统/ARM技术中的嵌入式系统中I2S总线数据通信的软件模拟

  2. 引言   I2S(InterIC Sound Bus)是飞利浦公司针对数字音频设备之间的音频数据传输而制定的一种总线标准,采用沿独立的导线传输时钟与数据信号的设计,通过分离数据和时钟信号,避免了时差诱发的失真。I2S总线简单有效,可以有效提升输出数据的质量,在各种嵌入式音频系统中有广泛应用。但是在嵌入式音频系统设计中,并不是所有的MCU都支持I2S总线格式,再加上I2S还没有统一的接口标准,不同的厂家生产的设备接口也是五花八门,采用软件模拟实现I2S总线可有效解决在不支持其的MCU和设备之间通
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:158720
    • 提供者:weixin_38745361
  1. 嵌入式系统/ARM技术中的I2S总线概述

  2. 音响数据的采集、处理和传输是多媒体技术的重要组成部分。众多的数字音频系统已经进入消费市场,例如数字音频录音带、数字声音处理器。对于设备和生产厂家来说,标准化的信息传输结构可以提高系统的适应性。I2S(Inter—IC Sound)总线是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,该总线专责于音频设备之间的数据传输,广泛应用于各种多媒体系统。它采用了沿独立的导线传输时钟与数据信号的设计,通过将数据和时钟信号分离,避免了因时差诱发的失真,为用户节省了购买抵抗音频抖动的专业设备的费
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:150528
    • 提供者:weixin_38559992
  1. EDA/PLD中的基于FPGA和AD1836的I2S接口设计

  2. I2S总线协议简介   I2S(Inter IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,它既规定了硬件接口规范,也规定了数字音频数据的格式。I2S有三个主要的信号:   (1)串行时钟BCLK,也叫位时钟,即对应于数字音频的每一位数据,BCLK都有一个脉冲。BCLK的频率=2×采样率×采样位数。   (2)帧时钟LRCLK,用于切换左右声道的数据。LRCLK为“1”表示正在传输的是右声道的数据,为“0”则表示正在传输的是左声道的数据。LRCL
  3. 所属分类:其它

    • 发布日期:2020-11-19
    • 文件大小:131072
    • 提供者:weixin_38706743
  1. 飞利浦为下一代PC-TV应用提供PCI Express桥接

  2. 飞利浦电子日前推出了一款新型PCI Express桥接产品,它将有助于下一代PC-TV笔记本电脑设计师轻松地将任何TV前端同PCI Express接口进行整合。基于应用功能的多样性和灵活的板卡设计配置,飞利浦的新型SAA7160E芯片支持4个并行数字流,使得PC-TV观众可以同时收看、录制和预览TV图像画面,而这些在以前只能在顶级的液晶电视上得以实现。   飞利浦基于SAA7160E PCI Express的音频/视频桥接为视频图像捕捉、节目/传输和音频流提供了所需的端口,包括两个最多可支持4个
  3. 所属分类:其它

    • 发布日期:2020-11-27
    • 文件大小:60416
    • 提供者:weixin_38681318
  1. 嵌入式系统/ARM技术中的基于IIS总线的嵌入式音频系统设计

  2. 摘要:介绍了基于IIS总线的嵌入式音频设备的硬件体系结构及其Linux驱动程序的设计。在音频驱动程序设计综合使用了DMA、分段多缓存区和内存映射技术以提高系统性能,满足音频实时性的要求。     关键词:音频设备驱动程序 IIS总线 嵌入式Linux DMA 内存映射 嵌入式音频系统广泛应用于GPS自动导航、PDA、3G手机等嵌入式领域,但目前国内在这方面的研究较少。 音频系统设计包括软件设计和硬件设计两方面,在硬件上使用了基于IIS总线的音频系统体系结构。IIS(Inter-IC So
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:100352
    • 提供者:weixin_38706951
  1. RFID技术中的基于I2S的USB 声卡系统设计

  2. 摘  要:本文介绍了基于S3C2410处理器平台,利用I2S总线的USB声卡系统设计。详细阐述了USB声卡通讯的实现,并且根据I2S总线DMA传输的特点实现了环形缓冲区,以提高系统性能,满足音频实时性的要求。关键词: USB声卡;S3C2410;I2S 引言    近年来USB产品层出不穷,USB音频类在USB开发者论坛的努力下,成为一种标准的规范,USB声卡也开始在市场上悄然出现。因为USB声卡内置了DAC和有源功放,音频数据以数字方式进入USB声卡,完全杜绝了PC的内部干扰,所以,USB声
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:167936
    • 提供者:weixin_38748382
  1. 基于FPGA和AD1836的I2S接口设计

  2. I2S总线协议简介   I2S(Inter IC Sound Bus)是飞利浦公司为数字音频设备之间的音频数据传输而制定的一种总线标准,它既规定了硬件接口规范,也规定了数字音频数据的格式。I2S有三个主要的信号:   (1)串行时钟BCLK,也叫位时钟,即对应于数字音频的每一位数据,BCLK都有一个脉冲。BCLK的频率=2×采样率×采样位数。   (2)帧时钟LRCLK,用于切换左右声道的数据。LRCLK为“1”表示正在传输的是右声道的数据,为“0”则表示正在传输的是左声道的数据。LRCL
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:160768
    • 提供者:weixin_38594252
  1. 基于I2S的USB 声卡系统设计

  2. 摘  要:本文介绍了基于S3C2410处理器平台,利用I2S总线的USB声卡系统设计。详细阐述了USB声卡通讯的实现,并且根据I2S总线DMA传输的特点实现了环形缓冲区,以提高系统性能,满足音频实时性的要求。关键词: USB声卡;S3C2410;I2S 引言    近年来USB产品层出不穷,USB音频类在USB开发者论坛的努力下,成为一种标准的规范,USB声卡也开始在市场上悄然出现。因为USB声卡内置了DAC和有源功放,音频数据以数字方式进入USB声卡,完全杜绝了PC的内部干扰,所以,USB声
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:178176
    • 提供者:weixin_38741531
« 12 »