您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. IC 设计流程(ic design flow)

  2. ic设计的流程介绍 英文的 1.The flow of IC design 2.Information Collection and study 3.IP preparation 4.Achitecture Planning 5.Dedign:HDL source coding 6.HDL Text Bench coding 7.RTL simulation/Debug 8.FPGA Netlist Generation 9.Text environment Setup 10.FPGA Ver
  3. 所属分类:硬件开发

    • 发布日期:2010-09-23
    • 文件大小:522240
    • 提供者:iAMABADEIDOLON
  1. 集成电路后端设计

  2. 集成电路后端设计
  3. 所属分类:硬件开发

    • 发布日期:2011-12-01
    • 文件大小:164864
    • 提供者:roger_forever
  1. ic版图职业师认证

  2. 集成电路版图设计师 职业定义 通过EDA设计工具,进行集成电路后端的版图设计和验证,最终产生送交 供集成电路制造用的GDSII数据
  3. 所属分类:其它

    • 发布日期:2012-10-10
    • 文件大小:162816
    • 提供者:ransen558
  1. 后端设计参考书

  2. IC课程设计后端设计指导书,一些常见的器件的HSPICE仿真
  3. 所属分类:专业指导

    • 发布日期:2012-12-19
    • 文件大小:2097152
    • 提供者:w568326671
  1. CANDENCE后端设计

  2. 学习模拟后端的好东西 ,主要以CANDENCE的工具为主
  3. 所属分类:嵌入式

    • 发布日期:2013-09-02
    • 文件大小:1048576
    • 提供者:gsh442300
  1. IC后端设计中的一些经验

  2. 数字IC物理设计(PR)中的一些经验和解决方法
  3. 所属分类:专业指导

    • 发布日期:2013-09-15
    • 文件大小:863232
    • 提供者:longjilb
  1. 数字IC物理设计的基本书

  2. 高速数字设计,高级ASIC芯片综合技术,gate to GDSII,ASIC Design FlowTutorial,Physical Design Essential,static Timing Analysis for Nanometer Designs
  3. 所属分类:专业指导

    • 发布日期:2013-09-15
    • 文件大小:18874368
    • 提供者:longjilb
  1. 数字IC后端流程,很经典的哦

  2. 数字IC后端流程 数字IC后端流程--很经典 对于 CDN 的 Silicon Ensemble而言后端设计所需的数据
  3. 所属分类:专业指导

    • 发布日期:2008-10-21
    • 文件大小:3072
    • 提供者:dingph1983
  1. 数字IC设计:方法、技巧与实践

  2. 本书内容主要是数字芯片前端设计,不涉及模拟或是混合电路的芯片设计,而前端是指在进行物理设计(布局布线)之前的内容。  本书首先介绍了和苍片设计相关的一些背景知识。然后,使用一章的篇幅介绍芯片设计的流程和各个阶段使用的工具。之后的章节,本书就根据芯片设计的流程逐步介绍前端设计需要的知识。其中第3章为构架设计,比较详尽地介绍了构架设计的任务,一些应当考虑的问题和构架设计的方法。第4章是RTL设计与仿真。首先介绍的是一些RTL的设计规则;之后,讨论了如何在RIL设计中考虑综合和后端设计的问题;然后,
  3. 所属分类:硬件开发

    • 发布日期:2014-11-19
    • 文件大小:20971520
    • 提供者:woshilanglong
  1. 陈涛后端面试总结

  2. 这是在EETOP里面下载的资源,对数字IC后端一些问题总结的很好,很受用。
  3. 所属分类:电信

    • 发布日期:2015-06-08
    • 文件大小:706560
    • 提供者:ahu_xyf
  1. 数字IC前端后端流程及工具

  2. 这是数字IC前端的相关流程和工具,希望有帮助。也希望大家能够下载
  3. 所属分类:专业指导

    • 发布日期:2018-04-24
    • 文件大小:8388608
    • 提供者:qq_21823563
  1. 数字IC设计流程与工具

  2. 1、基于标准单元的ASIC设计流程。 2、数字前端设计流程 3、数字后端设计流程
  3. 所属分类:其它

    • 发布日期:2018-05-02
    • 文件大小:9437184
    • 提供者:sasasa123123123
  1. 数字后端设计技术全局观

  2. 描述了IC数字后端设计技术全局。介绍了芯片设计流程。
  3. 所属分类:互联网

  1. 数字IC前端到数字IC后端的synopsysEDA自动化流程脚本

  2. 数字IC前端到数字IC后端的synopsysEDA自动化流程脚本,自动处理DC、FM、PT等等软件的自动处理脚本
  3. 所属分类:其它

    • 发布日期:2018-08-14
    • 文件大小:1048576
    • 提供者:tb114142500
  1. 数字后端流程

  2. 这个文档以一个例子,描述了数字IC设计后端[APR]的整个流程,非常具有学习的意义
  3. 所属分类:硬件开发

    • 发布日期:2018-10-01
    • 文件大小:1016832
    • 提供者:cfx_id
  1. 2018珠海全志IC岗位笔试题

  2. 2018年珠海全志数字IC前端/后端的笔试题,非上机题,试卷
  3. 所属分类:硬件开发

    • 发布日期:2018-11-12
    • 文件大小:4194304
    • 提供者:u010473332
  1. 数字IC设计流程前端+后端.docx

  2. 数字IC前端后端设计流程,本文档主要讲述了数字IC的设计的流程,包括前端设计和后端设计的详细步骤。
  3. 所属分类:讲义

    • 发布日期:2020-04-21
    • 文件大小:487424
    • 提供者:bujia44
  1. 数字后端面试题集锦-数字芯片实验室.pdf

  2. 数字后端笔面试题集锦,共150道左右的题,带书签,涵盖设计流程、文件格式、STA等,对于找数字IC后端的朋友很有帮助
  3. 所属分类:电信

    • 发布日期:2020-07-10
    • 文件大小:1048576
    • 提供者:wangtao950420
  1. ic的前端设计和后端设计流程

  2. 根据个人掌握的知识,写写自己的理解。前端设计(也称逻辑设计)和后端设计(也称物理设计)并没有统一严格的界限,涉及到与工艺有关的设计就是后端设计。
  3. 所属分类:其它

    • 发布日期:2020-08-09
    • 文件大小:78848
    • 提供者:weixin_38701952
  1. EDA/PLD中的采取前端和后端协作方案的IC设计

  2. 今天,IC设计的成功与否在很大程度上取决于其设计过程是否顺利。在这个深亚微米时代,前端和后端设计领域更加密切的结合对于先进IC的高效开发是非常关键的。不幸的是,诸如专业化和小组分工等行为将本需要统一的设计过程割裂开来。特别地,约束条件和设计层次必须在考虑前端和后端设计任务的情况下制定。以一种考虑了市场和组织因素的联合开发的方法来推广这些约束条件和层次有助于确保各设计领域间更加友好的协作,并形成一个更加成功的设计组织。设计人员日益发现,低估前端和后端设计规程之间的相互依存性对于产品的尽快面市是极为
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:112640
    • 提供者:weixin_38672815
« 12 3 4 5 6 7 8 9 10 ... 13 »