您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. MPSK调制与解调VHDL程序

  2. 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4)
  3. 所属分类:其它

    • 发布日期:2009-06-23
    • 文件大小:125952
    • 提供者:perfectzhi
  1. 基于VHDL的程序设计集

  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MA
  3. 所属分类:嵌入式

    • 发布日期:2009-08-13
    • 文件大小:1048576
    • 提供者:lvjingsok
  1. FPGA的VHDL例程

  2. ASK调制与解调VHDL程序及仿真 FSK调制与解调VHDL程序及仿真 MPSK调制与解调VHDL程序与仿真 URAT VHDL程序与仿真 TLC7524接口电路程序 DAC0832 接口电路程序 TLC5510 VHDL控制程序 ADC0809 VHDL控制程序 LCD控制VHDL程序与仿真 LED控制VHDL程序与仿真
  3. 所属分类:嵌入式

    • 发布日期:2009-08-19
    • 文件大小:239616
    • 提供者:sirius1017
  1. MPSK调制与解调VHDL程序与仿真

  2. MPSK调制与解调VHDL程序与仿真 MPSK调制与解调VHDL程序与仿真
  3. 所属分类:嵌入式

    • 发布日期:2009-10-13
    • 文件大小:125952
    • 提供者:yuanshi137
  1. FPGA有价值的27个实例

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2009-11-15
    • 文件大小:1048576
    • 提供者:hb830513821001
  1. FPGA实例大全,都包含源程序仿真,实际运行通过

  2. 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFS
  3. 所属分类:嵌入式

    • 发布日期:2010-03-28
    • 文件大小:1048576
    • 提供者:hughzotob
  1. MPSK调制与解调VHDL程序与仿真

  2. 对mpsk的程序进行了仿真 有详细的仿真结果图
  3. 所属分类:嵌入式

    • 发布日期:2010-06-04
    • 文件大小:1048576
    • 提供者:chenzezoe
  1. simulink的MPSK系统仿真

  2. 一、 实验目的 熟悉掌握MATLAB中simulink的使用方法,初步学会使用该模块设计一些系统仿真。 1、 学习了解MPSK系统的基本调制解调原理,掌握MPSK系统传输信号的特点性能。 2、 比较不同MPSK系统的传输信号的优劣性,观察总结出不同进制下MPSK系统的特点。 二、 实验原理 多进制数字相位调制又称多相制,是二相制的推广。它是利用载波的多种不同相位状态来表征数字信息的调制方式。与二进制数字相位调制相同,多进制数字相位调制也有绝对相位调制和相对相位调制两种。 设载波为 ,则 进制数
  3. 所属分类:嵌入式

    • 发布日期:2010-06-08
    • 文件大小:709632
    • 提供者:syz666888
  1. MPSK调制与解调VHDL程序与仿真

  2. MPSK调制与解调VHDL程序与仿真,内有详细调制与解调程序和时序仿真图!
  3. 所属分类:嵌入式

    • 发布日期:2010-10-09
    • 文件大小:125952
    • 提供者:yzq110120
  1. MPSK调制与解调VHDL程序与仿真

  2. 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4)
  3. 所属分类:硬件开发

    • 发布日期:2011-03-21
    • 文件大小:92160
    • 提供者:thlove110
  1. vhdl实用例程 fpga很有价值的27实例教程

  2. fpga很有价值的27实例教程下载LED控制VHDL程序与仿真 LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调VHDL程序及仿真; FSK调制与解调VHDL程序及仿真; PSK调制与解调VHDL程序及仿真; MASK调制VHDL程序及仿真; MFSK调制VHDL程序及
  3. 所属分类:专业指导

    • 发布日期:2011-07-31
    • 文件大小:1048576
    • 提供者:xlmtong
  1. 数字通信信号的自动识别与参数估计研究

  2. 本文在前人工作的基础上,结合具体工程实践,主要研究了数字通信信号调制 样式的自动识别与参数估计技术。所做的工作主要包括: 1、提出了利用小波变换结合谱分析技术估计数字通信信号码速率的方法;提出 了采用总体概率分布估计与支持矢量机分类器相结合对多进制数字基带信号自动分 类的方法。证明了小波变换系数模值构成的单极性基带序列的功率谱在其码速率整数 倍处存在离散谱线,检测这些离散谱线可实现数字基带序列码速率的盲估计。这种方 法实现简单且有较好的估计精度。指出了不同类型的数字基带信号对应不同的总体概 率
  3. 所属分类:专业指导

    • 发布日期:2012-01-06
    • 文件大小:8388608
    • 提供者:guangyu99
  1. MPSK调制与解调VHDL程序与仿真

  2. VHDL源程序完成MPSK调制解调,能够实现MPSK调制解调
  3. 所属分类:硬件开发

    • 发布日期:2014-03-06
    • 文件大小:125952
    • 提供者:yuhan1
  1. 【新手入门VHDL】FPGA

  2. 8.2 LED控制VHDL程序与仿真 8.3 LCD控制VHDL程序与仿真 ... 8.14 MPSK调制与解调VHDL程序与仿真 ... 8.22 出租车计价器VHDL程序与仿真 ... 8.24 步进电机定位控制系统VHDL程序与仿真
  3. 所属分类:硬件开发

    • 发布日期:2016-03-09
    • 文件大小:1048576
    • 提供者:renzhezuoqing
  1. 数字信号频带传输系统的 建模与设计

  2. 在数字频带传输系统中,数字信号对高频载波进行调制,变为频带信号,通过信道传输,在接收端解调后恢复成数字信号。本章介绍了二进制振幅键控(ASK)调制与解调,二进制频移键控(FSK)调制与解调,二进制相位键控(PSK)调制与解调,多进制数字振幅调制(MASK)系统,多进制数字频率调制(MFSK)系统,多进制数字相位调制(MPSK)系统的工作原理、VHDL建模、程序设计与仿真。
  3. 所属分类:嵌入式

    • 发布日期:2009-03-15
    • 文件大小:7340032
    • 提供者:yac137953277
  1. mpsk调制解调源程序

  2. mpsk的调制解调代码,可以实现2psk/8psk的调制与解调。
  3. 所属分类:讲义

    • 发布日期:2018-07-05
    • 文件大小:8192
    • 提供者:weixin_42610411
  1. 基于线性分组码的MPSK/MQAM系统性能仿真

  2. 数字通信系统是采用数字信号来传递信息的系统,比模拟通信,更能满足通信技术在现代社会越来越高的要求,它的特点是:抗干扰能力强;差错可控;容易和各种数字终端连接,用现代计算技术来对信号进行一些处理,然后加工变换再存储,这样就形成智能网;易于集成化,这样就能实现通信设备的微型化;容易加密,而且机密强度高。 现在的各种公用和专用通信系统都在追求具有更大的通信容量、更远的通信距离以及更高的功率效率,尤其是目前广泛应用的移动通信、卫星通信以及航天器的测量、跟踪与控制等系统中,这方面的需求和要求更加紧迫。由
  3. 所属分类:讲义

    • 发布日期:2018-02-26
    • 文件大小:196608
    • 提供者:qq_38494024
  1. MPSK信号调制方式识别

  2. 详细讲解了MPSK信号调制方式识别与参数估计,信号调制方式的识别往往是以信号参数估计为前提的,载频是接收端进行调制信号解调的基础
  3. 所属分类:其它

    • 发布日期:2018-10-20
    • 文件大小:14680064
    • 提供者:greetree_1234
  1. 基于MATLAB的QPSK建模仿真与分析(论文+源代码)

  2. 基于MATLAB的QPSK建模仿真与分析摘要: QPSK(4PSK,正交相移键控)又叫四相绝对相移调制,是最常用的MPSK,利用信号的四种不同相位来表征数字信息。QPSK具有抗干扰能力好、误码率低,频率利用效率高等一系列优点。论文主要介绍了正交相移键控QPSK的调制解调概念和原理,利用Matlab中的M文件和Simulink模块对QPSK的调制解调系统进行仿真,对QPSK在高斯白噪声信道中的各项性能进行分析。 关键字:QPSK,调制解调,Matlab,误码率
  3. 所属分类:专业指导

    • 发布日期:2020-02-13
    • 文件大小:330752
    • 提供者:tiny__boy
  1. 通信与网络中的数字通信中载波频偏盲估计的一种新算法

  2. 摘要:给出了一种适用于MPSK、MQAM和MPAM解调的非数据辅助(NDA)载波频偏估计算法,利用自相关函数提取载波频偏信息,该方法具有速度快、频偏估计范围大的优点。计算机仿真结构表明了估计范围可以达到±80%的符号速率。     关键词:数字通信 非数据辅助 载波频偏估计 载波同步 许多通信系统都要求快速恢复载波。在数据辅助的频偏估计算法中,利用已知的训练序列,可快速估计载波频偏。而在非数据辅助(NDA)的频偏估计算法中,由于不使用训练序列,在波特率采样输出的情况下,必须消除发送数据的相
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:223232
    • 提供者:weixin_38714532
« 12 »