您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于DSP的全数字MSK调制解调系统(毕业论文)

  2. 基于DSP的全数字MSK调制解调系统(毕业论文) 非常有用
  3. 所属分类:硬件开发

    • 发布日期:2010-03-08
    • 文件大小:3145728
    • 提供者:guanzx
  1. MSK调制原理框图(pdf格式)

  2. MSK调制原理框图(pdf格式),原理框图
  3. 所属分类:专业指导

    • 发布日期:2010-03-24
    • 文件大小:59392
    • 提供者:cheershi
  1. MSK调制程序用VERILOG写的

  2. 做毕业设计的时候写的MSK调制器的VERILOG程序
  3. 所属分类:其它

    • 发布日期:2010-05-22
    • 文件大小:1048576
    • 提供者:baleyahei
  1. MSK数字化调制解调技术研究

  2. 本文介绍了MSK的基本原理,深入分析了相关文献中提到 的MSK调制解调方法,指出了存在的一些问题,并提出了相应的改进措施。
  3. 所属分类:专业指导

    • 发布日期:2010-07-01
    • 文件大小:1048576
    • 提供者:fujunsen
  1. MSK调制的matlab程序

  2. MSK的基带波形调制 完整图形 MSK的matlab程序
  3. 所属分类:其它

    • 发布日期:2010-10-20
    • 文件大小:660
    • 提供者:xiaoshuai1004
  1. msk调制解调仿真技术

  2. msk调制解调设计仿真 具体图解 具体公式 方式运用
  3. 所属分类:软件测试

    • 发布日期:2011-04-24
    • 文件大小:807936
    • 提供者:xiaohang9001
  1. msk调制的verilog程序

  2. 实现了msk调制,用verilog程序写的,从网上找的好使的
  3. 所属分类:其它

    • 发布日期:2012-05-16
    • 文件大小:4096
    • 提供者:jiaoyanhua
  1. matlab仿真实现MSK调制

  2. matlab仿真实现MSK调制 仅供学习参考
  3. 所属分类:其它

    • 发布日期:2013-03-12
    • 文件大小:2048
    • 提供者:wolf179205
  1. msk调制与gmsk调制

  2. 使用matlab进行仿真,编写的非常详细的msk调制与gmsk调制调制程序。这些程序是自己通过各种查资料以及在自己独立的修改下完成的有关于msk、以及gmsk信号的一些特征与性质的仿真分析。
  3. 所属分类:其它

    • 发布日期:2014-01-13
    • 文件大小:3145728
    • 提供者:wordwarwordwar
  1. MSK调制解调

  2. 实现对基带信号进行MSK调制和解调(理想信道下)
  3. 所属分类:C/C++

    • 发布日期:2014-04-24
    • 文件大小:4096
    • 提供者:lhm2010082211
  1. MSK调制实习报告-通信系统实习报告

  2. 老师布置的实习任务,MSK调制实习报告-通信系统实习报告,除信号源和显示器,器至少20个原件组成,内含图文及相关参数设置,非常详细,我们实习的内容,原创的报告...
  3. 所属分类:专业指导

    • 发布日期:2017-08-12
    • 文件大小:2097152
    • 提供者:ziyouqi
  1. 基于MSK调制的扩频系统的数字实现方法.pdf

  2. 基于MSK调制的扩频系统的数字实现方法.pdf
  3. 所属分类:专业指导

    • 发布日期:2009-01-15
    • 文件大小:330752
    • 提供者:neonli
  1. 基于DSP的全数字MSK调制解调系统

  2. 基于DSP的全数字MSK调制解调系统 基于DSP的全数字MSK调制解调系统
  3. 所属分类:硬件开发

    • 发布日期:2009-04-09
    • 文件大小:3145728
    • 提供者:haozhichao1989
  1. MSK调制解调

  2. 基于MATLAB的MSK调制解调代码,分析了MSK连续相位调制的方法与性能
  3. 所属分类:其它

    • 发布日期:2018-07-23
    • 文件大小:133120
    • 提供者:qq_40555065
  1. msk调制的代码.m

  2. 本M文件主要是生成了随机序列,并进行MSK调制,最终得到MSK的已调信号。 。
  3. 所属分类:其它

    • 发布日期:2020-05-05
    • 文件大小:640
    • 提供者:qq_35786194
  1. matlab开发-MSK调制和解调-其它代码类资源

  2. matlab开发-MSK调制和解调。最小频移键控调制解调程序 matlab开发-MSK调制和解调。最小频移键控调制解调程序
  3. 所属分类:其它

    • 发布日期:2020-01-05
    • 文件大小:3072
    • 提供者:tian_jie
  1. 基于FPGA的MSK调制解调器设计与应用

  2. 基于FPGA的MSK调制解调器设计与应用,计和时序仿真。硬件部分在Altera公司EP2C15AF256C8NFPGA上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。关键词:现场可编程逻辑阵列,最小频移键控,调制,时序仿真Abstract:
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:195584
    • 提供者:weixin_38713167
  1. EDA/PLD中的基于FPGA 的MSK 调制解调器设计与应用

  2. 摘要:提出了一种基于FPGA 的数字MSK 调制解调器设计方法,应用VHDL 语言进行了模块设计和时序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA 上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。   数字调制解调器在点对点的数据传输中得到了广泛的应用。通常的二进制数字调制解调器是建立在模拟载波上的,在电路实现时需要模拟信号源,这会给全数字应用场合带来不方便。本文分析了MSK(最小频移键控)数字调制信号特征,提出一种全数字固定数据速率MSK
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:188416
    • 提供者:weixin_38711369
  1. 基于AT89C51以及DDS芯片AD9852的MSK调制器研制

  2. 基于AT89C51以及DDS芯片AD9852的MSK调制器研制、电子技术,开发板制作交流
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:224256
    • 提供者:weixin_38656463
  1. 基于FPGA 的MSK 调制解调器设计与应用

  2. 摘要:提出了一种基于FPGA 的数字MSK 调制解调器设计方法,应用VHDL 语言进行了模块设计和时序仿真。硬件部分在Altera 公司 EP2C15AF256C8N FPGA 上实现。结果表明,数字MSK调制解调器具有相位连续,频带利用率高的优点。   数字调制解调器在点对点的数据传输中得到了广泛的应用。通常的二进制数字调制解调器是建立在模拟载波上的,在电路实现时需要模拟信号源,这会给全数字应用场合带来不方便。本文分析了MSK(频移键控)数字调制信号特征,提出一种全数字固定数据速率MSK调制
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:236544
    • 提供者:weixin_38560039
« 12 3 4 5 6 7 8 9 10 »