您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Multi-Tap FlexHtree在高性能CPU设计中的应用

  2. 对于高性能CPU设计,特别是在16 nm以及更高级的工艺节点上,signoff的corner很多,增加公共时钟路径长度、改善各RC端角下时钟延迟的一致性、降低设计的局部时钟偏斜已经成为数字后端设计师的共识。Cadence innovus工具新增的multi-tap FlexHtree结构时钟树方案不仅提供了H-tree对称的时钟缓冲器单元结构和相等的线长特点,而且其对几何对称性降低了要求,确保了时序单元摆放完毕后就可以进行时钟树综合。建立了一个自动化的FlexHtree实现流程来降低不同corn
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:689152
    • 提供者:weixin_38638163