您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于Verilog hdl的FPGA设计与工程应用_源代码

  2. “第2章示例”目录: 例2-1.v————————书中例2-1的Verilog源代码; 例2-2.v————————书中例2-2的Verilog源代码; 例2-3.v————————书中例2-3的Verilog源代码; 例2-4.v————————书中例2-4的Verilog源代码; 例2-5.v————————书中例2-5的Verilog源代码; “function”示例.v——书中关键字“function”示例的Verilog源代码; “task”示例.v————书中关键字“task”示例
  3. 所属分类:iOS

    • 发布日期:2010-09-03
    • 文件大小:9437184
    • 提供者:mixwill3
  1. 现代计算机组成原理实验讲义

  2. 现代计算机组成原理实验讲义 实验指导 实验一 QUARTUSII EDA 工具与VHDL 基础实验 实验二 运算器组成实验 实验三 存储器实验 实验四 微控制器实验 实验五 总线控制实验 实验六 基本模型机设计与实现 实验七 带移位运算的模型机设计与实现 实验八 复杂模型机的设计与实现 实验九.较复杂CPU 设计示例 实验十.16 位精简指令CPU 设计实验 实验十一 32 位NIOS CPU 嵌入式系统软硬件设计实验 实验十二 32 位NIOS CPU 测控系统串口接收程序设计 实验十三 G
  3. 所属分类:专业指导

    • 发布日期:2011-07-01
    • 文件大小:2097152
    • 提供者:zheng19902528
  1. Altera SOPC设计中用户自定义指令和IP核开发.zip

  2. IP核概述.doc SOPC中自定义外设和自定义指令性能分析.pdf 基于Avalon总线TLC5628自定义IP核的开发.pdf 基于Avalon总线的TFT LCD 控制器的设计.doc 基于Avalon总线的可配置LCD控制器IP核的设计.doc 基于Avalon总线的可配置LCD控制器IP核的设计.pdf 基于Avalon总线的直流电机PWM控制.pdf 基于Avalon总线的键盘和VGA控制接口设计.pdf 基于NIOS II嵌入式处理器实现LCD的控制 .doc 基于Nios II
  3. 所属分类:硬件开发

    • 发布日期:2013-07-27
    • 文件大小:6291456
    • 提供者:originator
  1. SOPC嵌入式系统实验教程周立功.rar

  2. 第4章 SOPC软件编程基础实验144 4.1 PIO输出实验1——流水灯控制145 4.2 PIO输出实验2——步进电机控制148 4.3 PIO输入实验——读取开关信号153 4.4 PIO外部中断实验157 4.5 定时器实验1——使用系统时钟服务161 4.6 定时器实验2——使用时间标记服务166 4.7 定时器实验3——看门狗实验169 4.8 通过C库函数访问JTAG UART173 4.9 通过HAL API函数访问JTAG UART176 4.10 通过C库函数访问UART1
  3. 所属分类:其它

    • 发布日期:2019-07-23
    • 文件大小:4194304
    • 提供者:weixin_39840515
  1. Altera SOPC设计中用户自定义指令和IP核开发

  2. IP核概述.doc SOPC中自定义外设和自定义指令性能分析.pdf SOPC设计中用户自定义IP核开发.kdh SOPC设计中的用户自定义逻辑.kdh 基于Avalon总线TLC5628自定义IP核的开发.pdf 基于Avalon总线的TFT LCD 控制器的设计.doc 基于Avalon总线的可配置LCD控制器IP核的设计.doc 基于Avalon总线的可配置LCD控制器IP核的设计.pdf 基于Avalon总线的直流电机PWM控制.pdf 基于Avalon总线的键盘和VG
  3. 所属分类:硬件开发

    • 发布日期:2013-07-27
    • 文件大小:6291456
    • 提供者:originator
  1. NiosⅡ系统Avalon总线PWM设计

  2. 本文通过自定制PWM外围设备的方法,并通过硬件测试证明了方案的可行性和正确性,希望能够为采用Nios Ⅱ处理器的开发人员提供一些方法和建议。
  3. 所属分类:其它

    • 发布日期:2020-07-29
    • 文件大小:94208
    • 提供者:weixin_38663167
  1. Nios Ⅱ系统Avalon总线PWM设计

  2. 在NiosⅡ系统的构建过程中,SoPCBuilder开发环境集成了许多常用类型的设备模型,供开发者调用。
  3. 所属分类:其它

    • 发布日期:2020-08-21
    • 文件大小:257024
    • 提供者:weixin_38682279
  1. NiosⅡ系统Avalon总线PWM设计

  2. 本文通过在NiosⅡ嵌入式系统内部集成了基于Avalon总线的脉冲宽度调制(PWM)从外设,介绍了自定制Avalon设备的过程。将其应用在嵌入式智能小车监控系统,为采用Nios II处理器的开发者提供了一些方法和建议。
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:263168
    • 提供者:weixin_38713586