您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 单片机应用技术选编(7)

  2. 内容简介    《单片机应用技术选编》(7) 选编了1998年国内50种科技期刊中有关单片机开发应用的文 章共510篇,其中全文编入的有113篇,摘要编入的397篇。全书共分八章,即单片机综合 应用技术;智能仪表与测试技术;网络、通信与数据传输;可靠性与抗干扰技术;控制系统 与功率接口技术;电源技术;实用设计;文章摘要。    本书具有重要实用价值,书中介绍的新技术、新器件以及单片机应用系统的软、硬件资 料有助于减少产品研制过程中的重复性劳动,提高单片机应用技术水平,是从事单片机应用 开发技
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:13631488
    • 提供者:zgraeae
  1. PC机与CPLD通信问题的研究

  2. 根据PC机作上位机和下位机的CPLD串行通信的特点,简介上位机VB程序的编写;详述在EDA软件MAXPLUSII的环境下,利用AHDL语言,编写下位机程序。此设计具有波特率高、传输准确等优点,并下载到芯片通过硬件试验验证。
  3. 所属分类:其它

    • 发布日期:2020-08-26
    • 文件大小:132096
    • 提供者:weixin_38712092
  1. EDA/PLD中的基于CPLD的RS-232串口通信实现

  2. 摘要:为了实现PC机与CPLD的通信,进行了相应的研究。分析了RS-232C通信协议,自定义了数据包传输格式。根据UART模块工作状态多的特点,应用了有限状态机理论进行编程实现。为降低误码率,应用16倍频技术,实现了波特率为9 600 bit/s的串口通信。在Quartus II平台上用VerilogHDL进行编程,并通过了VC编写程序的数据传输的验证。研究成果为工程上PC机与嵌入式系统数据传输的问题提供了一种解决方法。   CPLD(Complex Programable Logic Dev
  3. 所属分类:其它

    • 发布日期:2020-10-21
    • 文件大小:437248
    • 提供者:weixin_38699302
  1. EDA/PLD中的PC机与CPLD通信问题的研究

  2. 摘要:根据PC机作上位机和下位机的CPLD串行通信的特点,简介上位机VB程序的编写;详述在EDA软件MAXPLUSII的环境下,利用AHDL语言,编写下位机程序。此设计具有波特率高、传输准确等优点,并下载到芯片通过硬件试验验证。                关键词:串行通信      可编程逻辑器件 VB语言      引言     用CPLD(复杂可编程逻辑器件)设计乃至仿真、验证、利用ISP(在系统可编程)对硬件调试都非常方便,所以开发周期很短,且I/O口随意设定,故用CPLD设计专用芯片
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:151552
    • 提供者:weixin_38555229
  1. EDA/PLD中的基于PC机与CPLD通信问题的研究

  2. 引言   用CPLD(复杂可编程逻辑器件)设计乃至仿真、验证、利用ISP(在系统可编程)对硬件调试都非常方便,所以开发周期很短,且I/O口随意设定,故用CPLD设计专用芯片是大势所趋。VB是一种面向对象的高级语言,应用这的通信控件编写上位机的通信程序十分方便,过程简单。本文针对CPLD和PC通信的特点,各编写了上位机和下位机的程序,进行相对高速的串行通信。   1 上位机和下位机通信特点简介   根据串行通信的协议,发送串行数据一般是:1个起始位、n个数据位,1个或多个停止位。这样,发送
  3. 所属分类:其它

    • 发布日期:2020-12-07
    • 文件大小:130048
    • 提供者:weixin_38708361
  1. PC机与CPLD通信问题的研究

  2. 摘要:根据PC机作上位机和下位机的CPLD串行通信的特点,简介上位机VB程序的编写;详述在EDA软件MAXPLUSII的环境下,利用AHDL语言,编写下位机程序。此设计具有波特率高、传输准确等优点,并到芯片通过硬件试验验证。                关键词:串行通信      可编程逻辑器件 VB语言      引言     用CPLD(复杂可编程逻辑器件)设计乃至仿真、验证、利用ISP(在系统可编程)对硬件调试都非常方便,所以开发周期很短,且I/O口随意设定,故用CPLD设计专用芯片是大
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:133120
    • 提供者:weixin_38611527
  1. 基于PC机与CPLD通信问题的研究

  2. 引言   用CPLD(复杂可编程逻辑器件)设计乃至仿真、验证、利用ISP(在系统可编程)对硬件调试都非常方便,所以开发周期很短,且I/O口随意设定,故用CPLD设计专用芯片是大势所趋。VB是一种面向对象的语言,应用这的通信控件编写上位机的通信程序十分方便,过程简单。本文针对CPLD和PC通信的特点,各编写了上位机和下位机的程序,进行相对高速的串行通信。   1 上位机和下位机通信特点简介   根据串行通信的协议,发送串行数据一般是:1个起始位、n个数据位,1个或多个停止位。这样,发送起始
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:111616
    • 提供者:weixin_38665804
  1. 基于CPLD的RS-232串口通信实现

  2. 摘要:为了实现PC机与CPLD的通信,进行了相应的研究。分析了RS-232C通信协议,自定义了数据包传输格式。根据UART模块工作状态多的特点,应用了有限状态机理论进行编程实现。为降低误码率,应用16倍频技术,实现了波特率为9 600 bit/s的串口通信。在Quartus II平台上用VerilogHDL进行编程,并通过了VC编写程序的数据传输的验证。研究成果为工程上PC机与嵌入式系统数据传输的问题提供了一种解决方法。   CPLD(Complex Programable Logic Dev
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:588800
    • 提供者:weixin_38748556