您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于FPGA的微波炉控制器系统的设计

  2. 随着人民生活水平的提高,微波炉开始进入越来越多的家庭,它给人们的生活带来了极大的方便。微波炉由2450MHz的超高频来加热食物。它省时、省电、方便和卫生,作为现代的烹饪工具,微波炉的控制器体现着它的重要性能指标。目前大部分微波炉控制器采用单片机进行设计,电路比较复杂.性能不够灵活。本设计采用先进的EDA技术,主要是运用VHDL语言,应用Quartus II 软件来编译此程序,该系统具有复位、时间设定和烹饪计时功能,把程序下载到EDA—II型PLD实验开发系统板,便可以看到所要求的现象
  3. 所属分类:硬件开发

    • 发布日期:2009-06-18
    • 文件大小:178176
    • 提供者:wanderway_one
  1. EDA软件列表(收集了各种类型的EDA软件)

  2. EDA软件列表 ★数字电路设计工具★ ★模拟/数.模混合信号电路设计工具★ ★Hard/Soft协调设计工具★ ★LSI Layout设计工具★ ★测试工具★ ★印刷电路版设计工具★ ★PLD开发系统★ ★其他的工具★
  3. 所属分类:专业指导

    • 发布日期:2010-06-21
    • 文件大小:35840
    • 提供者:zwxf123
  1. PLD器件基础及开发系统介绍

  2. PLD器件基础及开发系统介绍 PLD器件基础及开发系统介绍 PLD器件基础及开发系统介绍
  3. 所属分类:专业指导

    • 发布日期:2010-09-26
    • 文件大小:321536
    • 提供者:shiyulong12345
  1. SZD-III型PLD实验开发系统的使用说明书

  2. SZD-III型PLD实验开发系统的使用说明书,SZD-III型PLD实验开发系统介绍
  3. 所属分类:软件测试

    • 发布日期:2011-04-01
    • 文件大小:73728
    • 提供者:huangyx223
  1. MAXPLUS2仿真软件

  2. 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:Quartus,主要用于设计6万-100万门的大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。对于一般几千门的电路设计,使用MAX+PLUSII,从设计输入到器件编程完毕,用户拿到设计好的逻辑电路,大约只需几小时。设计处理一般
  3. 所属分类:硬件开发

    • 发布日期:2012-09-26
    • 文件大小:40894464
    • 提供者:langqiyu
  1. maxplus2 PLD

  2. Max+plusII 介绍 -------------------------------------------------------------------------------- Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如
  3. 所属分类:其它

    • 发布日期:2013-04-21
    • 文件大小:52428800
    • 提供者:ninn454
  1. maxplus2(Max+plusII)

  2. Max+plusII(或写成Maxplus2,或MP2) 是Altera公司推出的的第三代PLD开发系统(Altera第四代PLD开发系统被称为:QuartusII,主要用于设计新器件和大规模CPLD/FPGA).使用MAX+PLUSII的设计者不需精通器件内部的复杂结构。设计者可以用自己熟悉的设计工具(如原理图输入或硬件描述语言)建立设计,MAX+PLUSII把这些设计转自动换成最终所需的格式。其设计速度非常快。
  3. 所属分类:其它

    • 发布日期:2013-10-11
    • 文件大小:16777216
    • 提供者:u010452768
  1. EDA/PLD中的基于FPGA的DDC的设计

  2. 摘要:数字下变频技术是软件无线电的核心技术之一。本文首先介绍了DDC的组成结构,然后详细分析了DDC各功能模块的工作原理,通过Modelsim完成了DDC其主要模块的仿真和调试,并进行初步系统级验证。在仿真的基础上使用了FPGA开发系统,实测了DDC的性能。   0 引言   近年来,软件无线电已经成为通信领域一个新的发展方向,数字下变频技术(Digital Down Converter-DDC)是软件无线电的核心技术之一,也是计算量最大的部分,一般通过FPGA或专用芯片等硬件实现。   
  3. 所属分类:其它

    • 发布日期:2020-11-05
    • 文件大小:181248
    • 提供者:weixin_38738511
  1. EDA/PLD中的电子抢答器的EDA设计与实现

  2. 0 引言   数字抢答器控制系统在现今许多工厂、学校和电视台等单位所举办的各种知识竞赛中起着不可替代的作用。基于EDA技术设计的电子抢答器,以其价格便宜、安全可靠、使用方便而受到了人们的普遍欢迎。本文以现场可编程逻辑器件(FPGA)为设计载体,以硬件描述语言VHDL为主要表达方式,以OuartusⅡ开发软件和GW48EDA开发系统为设计工具设计的电子抢答器,具有抢答鉴别与锁存功能以及60秒答题限时功能、对抢答犯规的小组进行警告和对各抢答小组进行相应的成绩加减操作等功能。   1 电子抢答器的
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:475136
    • 提供者:weixin_38691319
  1. EDA/PLD中的基于MAX+ PLUS 的十进制计数器的设计

  2. 摘 要: MAX+ PLUS Ⅱ 软件是一种易学易用的设计开发环境, 它在数字电路设计中的应用越来越广泛。基于此, 首先介绍了MAX + PLUS Ⅱ 软件常用的设计输入方法; 其次设计了十进制计数电路, 并用MAX + PLUS Ⅱ软件对电路进行了仿真; 最后将该电路图下载到实验箱验证了其功能的正确性。   0 引言   MAX+ PLUS Ⅱ 开发系统是易学易用的完全集成化的设计开发环境。目前已发行10. 0 版本。该软件与LATTICE公司的iSPEXPERT及XILINX的FOUND
  3. 所属分类:其它

    • 发布日期:2020-11-04
    • 文件大小:253952
    • 提供者:weixin_38738005
  1. EDA/PLD中的基于EDA技术的数字频率计的设计

  2. 0 引 言   EDA技术是以大规模可编程逻辑器件为设计载体,以硬件语言为系统逻辑描述的主要方式,以计算机、大规模可编程逻辑器件的开发软件及实验开发系统为设计工具,通过有关的开发软件,自动完成用软件设计的电子系统到硬件系统的设计,最终形成集成电子系统或专用集成芯片的一门新技术。其设计的灵活性使得EDA技术得以快速发展和广泛应用。   本文以Max+PlusⅡ软件为设计平台,采用VHDL语言实现数字频率计的整体设计。   1 工作原理   众所周知,频率信号易于传输,抗干扰性强,可以获得较
  3. 所属分类:其它

    • 发布日期:2020-11-10
    • 文件大小:292864
    • 提供者:weixin_38608189
  1. EDA/PLD中的基于Altera FPGA的千兆以太网实现方案

  2. 1 引言   在系统设备不断向小型化、集成化、网络化发展的今天,嵌入式开发成为新技术发展的最前沿,改变着系统的整体结构。FPGA由于其自身特点,成为嵌入式开发的最佳平台。Altera公司结合其最新一代高端器件推出了全新的嵌入式开发系统,能够实现软核niosII 32位处理器为核心的嵌入式开发系统。   在CvcloneII中,A1tera集成了完整的千兆以太网硬核,硬核包括MAC模块以及可选择的物理层PCS模块和PMA模块,其中MAC模块支持l0/100/1000 Mb/s。Altera的S
  3. 所属分类:其它

    • 发布日期:2020-11-09
    • 文件大小:286720
    • 提供者:weixin_38627769
  1. 嵌入式系统/ARM技术中的基于SOPC技术在嵌入式工业以太网中的应用

  2. 1 引言   随着以太网在工业控制领域得到大规模应用,嵌入式的工业以太网系统也越来越多的渗入到了工业控制领域。以Motorola ColdFire微处理器和ARM处理器为硬件平台的嵌入式工业以太网系统已经有大量文献报道。   以上这些系统的开发平台并不完全针对工业以太网的应用情况,因为芯片设计公司并不完全熟悉工业以太网的需要。因此在实际应用当中经常出现硬件资源浪费或者资源不够的问题,并且开发系统往往需外接PLD芯片来进行外围器件的逻辑控制,存在接口速率的瓶颈问题。SOPC技术能解决这个难题。
  3. 所属分类:其它

    • 发布日期:2020-11-07
    • 文件大小:158720
    • 提供者:weixin_38616359
  1. EDA/PLD中的系统的硬件验证/单元电路的调试

  2. FPGA/CPLD数据采集电路的调试:使用MAX+plus Ⅱ 10.0、计算机、GW48-CK EDA实验开发系统等软件和设备,对FPGA/CPLD坝刂控电路进行VHDL程序的调试、有关仿真以及编程下载,硬件测试等。   单片机数据处理控制程序的调试:使用伟福6000(WAVE 6000 for windows)、计算机、伟福E6000L单片机仿真器及POD 8X5XP仿真头等软件和设备,对单片机数据处理控制程序进行调试。   2.系统的联合调试   在各个单元电路调试好后即可进行系统联
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:39936
    • 提供者:weixin_38545768
  1. EDA/PLD中的系统调试的软/硬件

  2. (1)系统设计开发软件:MAX+plus II 10,0、伟福6000(WAVE 6000 for windows)。   (2)单片机及FPGA/CPLD调试设备:PIV计算机、伟福E6000L单片机仿真器及POD 8X5XP仿真头、GW48-CKEDA实验开发系统及EPF10K20TC 144-4FPGA适配板、单片机最小系统、炜煌WH-500B程序编写加密器、GDS-8205数字存储示波器。
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:25600
    • 提供者:weixin_38691669
  1. EDA/PLD中的片上系统设计与EDA

  2. 作者: 北京航空航天大学 夏宇闻摘要:利用EDA工具和硬件描述语言(HDL),根据产品的特定要求设计性能价格比高的片上系统,是目前国际上广泛使用的方法。与传统的设计方法不同,在设计开始阶段并不一定需要具体的单片微控制器(MCU)和开发系统(仿真器)以及带有外围电路的线路板来进行调试,所需要的只是由集成电路制造厂家提供的用HDL描述的MCU核和各种外围器件的HDL模块。设计人员在EDA工具提供的虚拟环境下,不但可以编写和调试汇编程序,也可以用HDL设计、仿真和调试具有自己特色的快速算法电路和接口,
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:124928
    • 提供者:weixin_38592405
  1. EDA/PLD中的CPLD在合成孔径雷达目标模拟视频板设计中的应用

  2. 摘 要:本文介绍了一种合成孔径雷达目标模拟视频板卡的设计实例,它采用Altera公司的EMP7128S及MAX+PLUS-II 开发系统实现。由于采用该器件,简化了电路设计,减小了设备体积,同时也使设备的可靠性和设计的灵活性大大提高。关键词:合成孔径雷达;FPGA/CPLD;PCI接口;乒乓结构 引言合成孔径雷达(Synthetic Aperture Radar,简称SAR)是以合成孔径原理和脉冲压缩技术为理论基础,以高速数字处理和精确运动补偿为前提条件的高分辨率成像雷达。对于合成孔径雷达
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:89088
    • 提供者:weixin_38705530
  1. EDA/PLD中的可编程ASIC器件主从式下载开发系统的设计

  2. 1 引言 当前在EDA领域,只要具备台式或笔记本电脑并装有工具软件,就可以方便地对可编程ASIC(CPLD/FPGA)进行设计开发,在系统可编程(ISP)器件为我们提供了这种便利条件。ISP方式虽然可以用一根下载电缆代替了编程器,但兼有提供下载和演示环境两大功能的可编程ASIC开发系统对于用户来说仍是必须的。本文提出的主从式下载开发系统可以适配多种目标芯片,具有较宽的开发应用范围,经过几年的教学、科研实践,使用效果良好。2 系统结构及工作原理 对ASIC器件设计开发的前期工作主要依靠对某
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:142336
    • 提供者:weixin_38693173
  1. EDA/PLD中的用MAX+PLUSⅡ开发Altera CPLD

  2. 【摘 要】介绍利用MAX+PLUSⅡ软件对Altera公司的CPLD进行图形设计、编译以及在系统编程的基本方法和步骤。   【关键词】MAX+PLUSⅡ软件,CPLD,在线编程 1 引 言  Altera公司是世界三大CPLD/FPGA厂家之一,它的器件能达到最高的性能和集成度,不仅仅因为采用了先进的工艺和全新的逻辑结构,还在于它提供了现代化的设计工具——MAX+PLUSⅡ可编程逻辑开发软件,该软件是Altera公司推出的第三代PLD开发系统。它提供了一种与结构无关的设计环境,使Altera
  3. 所属分类:其它

    • 发布日期:2020-12-05
    • 文件大小:142336
    • 提供者:weixin_38728183
  1. 用MAX+PLUSⅡ开发Altera CPLD

  2. 【摘 要】介绍利用MAX+PLUSⅡ软件对Altera公司的CPLD进行图形设计、编译以及在系统编程的基本方法和步骤。   【关键词】MAX+PLUSⅡ软件,CPLD,在线编程 1 引 言  Altera公司是世界三大CPLD/FPGA厂家之一,它的器件能达到的性能和集成度,不仅仅因为采用了先进的工艺和全新的逻辑结构,还在于它提供了现代化的设计工具——MAX+PLUSⅡ可编程逻辑开发软件,该软件是Altera公司推出的第三代PLD开发系统。它提供了一种与结构无关的设计环境,使Altera C
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:152576
    • 提供者:weixin_38684633
« 12 3 4 5 6 7 8 9 10 ... 19 »