您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Two Port RAM实验例程

  2. Two Port RAM实验例程 (异步电路)
  3. 所属分类:专业指导

    • 发布日期:2009-05-16
    • 文件大小:220160
    • 提供者:pengwangguo
  1. DSP281x模板及例程

  2. DSP281x模板及例程, sprc097_281x 例程程序介绍 主要特点 高性能价格比 更加完备的硬件功能,丰富的软件例程 芯片支持C语言编写,编译率高达90% 系统资源 32位 TMS320F2812 150MHz 片内flash 128K×16 bit(128位密码保护)18K×16 bit 高速RAM 片内12位高速A/D ,16路 80ns转换时间,0~3V量程 Boot ROM:4K×16 bit OTP ROM:1K×16 bit 片外64K×16 bit RAM(可扩至512
  3. 所属分类:硬件开发

    • 发布日期:2009-07-24
    • 文件大小:430080
    • 提供者:randy6677
  1. 三芝公司Code2407

  2. TMS320LF2407例程 1) 外部RAM 测试程序实验; 2) 定时器控制LED 程序实验; 3) 按键与走马灯控制程序实验; 4) SCI 串口通讯实验; 5) SPI 接口的D/A 实验; 6) A/D 采样实验; 7) CAN 总线通讯实验; 8) PWM 电机控制实验; 9) 步进电机控制实验(选配); 10) T6963 显示控制实验(选配);
  3. 所属分类:专业指导

    • 发布日期:2009-08-14
    • 文件大小:590848
    • 提供者:zjnzjnzjn
  1. NECV850单片机芯片资料

  2. 从NEC技术支持那里搞来的芯片资料和实验例程代码 例程: CPU 的初始化和内置存储器内的数据读写 输入输出口 中断 多重中断 外部存储器的读写操作 钟表定时器 看门狗定时器 间隔定时器中断/系统时钟源切换 脉冲宽度测量 实时输出 UART IIC Bus DMA A/D,D/A 待机功能 定时器/事件计数器P PWM 波形输出 芯片简介: The V850ES/SJ2 and V850ES/SJ2-H are 32-bit single-chip microcontrollers that
  3. 所属分类:嵌入式

    • 发布日期:2010-05-27
    • 文件大小:8388608
    • 提供者:samphoenix
  1. AT89S51实验教程

  2. 实验:跑马灯/动态数码显示/静态数码显示/继电器驱动/8×8点阵/RS232通信/键盘按键识别/RAM扩展/音乐控制/ADC/DAC/定时器/中断/万年历/广告字幕机移动/空调温度控制/温度测量/电子琴/电子锁/微锅炉控制/洗衣机控制 使用对象:学校实验室教学/个人学习实验开发/学生科研项目开发
  3. 所属分类:其它

    • 发布日期:2011-03-12
    • 文件大小:3145728
    • 提供者:ortegahz
  1. 基于S3C2440的Demo程序

  2. Demo_Test实验 本实验演示了S3C2440 开发板的所有功能。本例程有两种运行方式,默认在SDRAM中运行。 Demo_Test IN RAM 将程序下载到SDRAM中调试。 Demo_Test IN NANDFLASH 运行在NANDFLASH中需下载.bin文件,具体操作过程请查看2440_Run_in_NANDFLASH文件中S3C2440_bootloader及USB下载文档。
  3. 所属分类:嵌入式

    • 发布日期:2011-10-23
    • 文件大小:3145728
    • 提供者:dingxiaotao
  1. 周立功Fusion StartKit,fpga开发板的实验例程Flash Memory RAM

  2. 周立功Fusion StartKit,fpga开发板的实验例程Flash Memory RAM
  3. 所属分类:其它

    • 发布日期:2013-03-17
    • 文件大小:14680064
    • 提供者:csoftzhang
  1. Actel EasyFPGA 060实验例程和文档

  2. EasyFPGA060 AES加密实验及文档.rar EasyFPGA060 D触发器实验及文档.rar EasyFPGA060 FlashLock实验及文档.rar EasyFPGA060 RAM实验例程与文档.rar EasyFPGA060 与门实验例程与文档.rar EasyFPGA060 与非门实验例程和文档.rar EasyFPGA060 加法器实验及文档.rar EasyFPGA060 动态CCC实验文档.rar EasyFPGA060 原理图.pdf EasyFPGA060 同或
  3. 所属分类:硬件开发

    • 发布日期:2013-07-27
    • 文件大小:53477376
    • 提供者:originator
  1. 基于F28335的FFT

  2. 基于F28335的FFT,1. ICETEK-F28335-A开发板 花了1900米买来,硬件和配套的软件(TI提供的例程加上一点icetek实验)都比较粗糙,文档错误不少,整个东东给人的感觉是匆忙的赶工出来的,不过总算有个可以跑的硬件平台,软件基本可以无视icetek的(除了存储器空间分配,不过icetek在这个问题上和我开了一个玩笑,拿到手的资料讲特别提到外部RAM映射到zone7,测试确怎么都不对,所以奇怪了好一阵,试了换到zone6才恍然大悟,icetek这样的错误也能犯,无语了)资料
  3. 所属分类:硬件开发

    • 发布日期:2013-08-14
    • 文件大小:77824
    • 提供者:u011690379
  1. 用stm32单片机点亮led灯

  2. 采用st公司发布的stm32cube软件生成配置文件,使用hal-stm32fl0x的新库函数。做了一个点亮led灯的实验例程。
  3. 所属分类:硬件开发

    • 发布日期:2015-03-13
    • 文件大小:6291456
    • 提供者:times1598
  1. 郭天祥老师msp430视频中的例程

  2. 非常有用的msp430程序,和视频中完全相同 一、基础实验【 10 个】 1、入门试验: LED 闪烁( 1 个) 2、时钟实验:设置 MCLK、 ACLK、 SMCLK( 1 个) 3、低功耗实验:设置低功耗模式( 1 个) 4、 IO 端口试验: IO 端口寄存器设置( 1 个) 5、定时器:看门狗定时器、 TimerA 寄存器设置( 2 个) 6、比较器:比较器 A 寄存器( 1 个) 7、 Flash: flash 读写( 1 个) 8、异步通信:异步通信寄存器设置( 1 个) 9、
  3. 所属分类:C/C++

    • 发布日期:2015-05-10
    • 文件大小:1048576
    • 提供者:u014124886
  1. NXP LPC1768的U盘MP3播放

  2. 本次使用宝马LPC1768作为实验平台,将LPC1768作为USB主机,挂载U盘,通过读取U盘里面的MP3文件,传送给VS1003进行解码播放。 VS1003是一个单片MP3/WMA/MIDI音频解码器和ADPCM编码器。它包含一个高性能,自主产权的低功耗DSP 处理器核VS_DSP,工作数据存储器,为用户应用提供5KB 的指令RAM 和0.5KB 的数据RAM。串行的控制和数据接口,4个常规用途的I/O 口,一个UART,也有一个高品质可变采样率的ADC和立体声DAC,还有一个耳机放大器和地
  3. 所属分类:C

    • 发布日期:2016-11-29
    • 文件大小:1048576
    • 提供者:szlovee
  1. drost2010CVPR中文翻译版.pdf

  2. Model Globally, Match Locally: Efficient and Robust 3D Object Recognition 中文翻译 ;原网页为:http://campar.in.tum.de/pub/drost2010CVPR/drost2010CVPR.pdfHash table I1. n A (m1,m2) F i.11 m;, (Key to (ms, m6) F1=m2 hash table 图2.(a)两个定向点的点对特征F.分量F1被设置为点F2和F3与法
  3. 所属分类:机器学习

    • 发布日期:2019-07-16
    • 文件大小:1048576
    • 提供者:qq_28250697
  1. 基础推荐:如何快速入门单片机.pdf

  2. 基础推荐:如何快速入门单片机pdf,始编写的程序难兔岀现语法错误或其它不规范的峾句,由于κeiC编译时对错 误语句提示的是英文,不太好理解,若用汇编的话,可使用DS下的宏汇编编 译器AsM51:他可以对出错语句进行中文提示;你源程序的注释部分还可以使 用中文,这更便于你今后对程序的维护。编译出的代码一般扩展名为*hex或 bin:这个代码文件必须送到单片机中单片机在电路中才能按你的"计划"去工 作。将这个代码文件送到单片机中的工具就是编程器,与电脑迳接的编程器一般 都通过并∏或者串∏与编程器的硬
  3. 所属分类:其它

    • 发布日期:2019-09-13
    • 文件大小:1048576
    • 提供者:weixin_38743481
  1. PID算法标准例程经典

  2. 这是从网上找来的一个比较典型的PID处理程序,在使用单片机作为控制cpu时,请稍作简化,具体的PID 参数必须由具体对象通过实验确定。由于单片机的处理速度和ram资源的限制,一般不采用浮点数运算,而将所有参数全部用整数,运算到最后再除以一个2的N次方数据(相当于移位),作类似定点数运算,可大大提高运算速度,根据控制精度的不同要求,当精度要求很高时,注意保留移位引起的“余数”,做好余数补偿。
  3. 所属分类:其它

    • 发布日期:2020-08-10
    • 文件大小:39936
    • 提供者:weixin_38628926