您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. RFID技术中的FPGA和CPLD内部自复位电路设计方案

  2. 本文描述了复位的定义,分类及不同复位设计的影响,并讨论了针对FPGA和CPLD的内部自复位方案。   1、定义   复位信号是一个脉冲信号,它会使设计的电路进入设定的初始化状态,一般它作用于寄存器,使寄存器初始化为设定值;其脉冲有效时间长度必须大于信号到达寄存器的最大时延,这样才有可能保证复位的可靠性。   下面将讨论FPGA/CPLD的复位电路设计。   2、分类及不同复位设计的影响   根据电路设计,复位可分为异步复位和同步复位。
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:146432
    • 提供者:weixin_38683848