您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. DE2-115开发板列子

  2. // // Permission: // // Terasic grants permission to use and modify this code for use // in synthesis for all Terasic Development Boards and Altera Development // Kits made by Terasic. Other use of this code, including the selling // ,duplication, o
  3. 所属分类:硬件开发

    • 发布日期:2011-11-18
    • 文件大小:107520
    • 提供者:jinchang1809
  1. xapp199 module testbench

  2. writing efficient testbenches `timescale 1 ns/1 ps module testbench; reg clock; reg load; reg reset; wire [4:0] shiftreg; reg [4:0] data; reg [1:0] sel; shift_reg u1 ( .clock (clock), .load (load), .reset (reset), .shiftreg (shiftreg), .data (data),
  3. 所属分类:其它

    • 发布日期:2013-05-13
    • 文件大小:14336
    • 提供者:iswzy
  1. 32位桶式移位寄存器的FPGA实现

  2. 基于fpga的32位桶式移位寄存器实现,参考文档《三十位桶式移位寄存器的FPGA实现--杜慧敏》
  3. 所属分类:硬件开发

    • 发布日期:2018-07-24
    • 文件大小:219136
    • 提供者:too_young_z
  1. EDA/PLD中的EDA典型单元电路的移位寄存器

  2. 移位寄存器除了具有存储代码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可用来实现数据的串并转换、数值的运算以及数据处理等。   【例】 用VHDL语言设计一个8位的移位寄存器,使其具有左移一位或右移一位、并行输入和同步复位的功能,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 移位寄存器SHIFT_REG的仿真图    来源:ks99
  3. 所属分类:其它

    • 发布日期:2020-11-16
    • 文件大小:181248
    • 提供者:weixin_38502183
  1. EDA典型单元电路的移位寄存器

  2. 移位寄存器除了具有存储代码的功能以外,还具有移位功能。所谓移位功能,是指寄存器里存储的代码能在移位脉冲的作用下依次左移或右移。因此,移位寄存器不但可以用来寄存代码,还可用来实现数据的串并转换、数值的运算以及数据处理等。   【例】 用VHDL语言设计一个8位的移位寄存器,使其具有左移一位或右移一位、并行输入和同步复位的功能,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 移位寄存器SHIFT_REG的仿真图    :
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:239616
    • 提供者:weixin_38620267