您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vga显示程序的nios应用

  2. nios 的c程序和vga的显示程序 ,构成显示所需波形的功能
  3. 所属分类:iOS

    • 发布日期:2009-09-01
    • 文件大小:10485760
    • 提供者:yuanye0816
  1. 基于rom的vga显示器的代码及文档(VHDL)

  2. 该资源包括代码和文档有助于初学者 1.进一步掌握VGA显示器的工作时序及其控制电路的工作原理。 2. 熟悉和掌握基于ROM的VGA显示原理以及ROM的使用方法。 3. 培养分析系统、进行模块设计及独立解决实际问题的能力。
  3. 所属分类:专业指导

    • 发布日期:2010-03-17
    • 文件大小:3145728
    • 提供者:zhangyiryudo
  1. vhdl实现VGA显示和vga显示资料

  2. vhdl实现VGA显示和vga显示资料,程序已经测试通过
  3. 所属分类:C/C++

    • 发布日期:2010-03-29
    • 文件大小:314368
    • 提供者:e21702924h
  1. VHDL实现VGA彩条显示

  2. VHDL实现VGA彩条显示 VHDL实现VGA彩条显示
  3. 所属分类:C/C++

    • 发布日期:2010-04-23
    • 文件大小:51200
    • 提供者:haokaihaohe110
  1. 用VHDL语言编写的VGA显示彩条

  2. 用VHDL语言编写的彩条显示,部分程序如下: architecture behave of VGA is -- horizontal timing signals constant h_data: integer:=640; constant h_front: integer:=16; constant h_back: integer:=48; constant h_sync: integer:=96; constant h_period: integer:= h_sync + h_data
  3. 所属分类:C++

    • 发布日期:2010-06-29
    • 文件大小:2048
    • 提供者:l840854893
  1. 基于FPGA的VGA显示控制器的实现

  2. VGA作为一种标准的显示接口得到广泛的应用。本论文依据VGA接口设计原理,采用VHDL语言以及Altera公司的Cyclone系列FPGA进行VGA显示控制器的设计,最后给出了Quartus II的仿真结果。
  3. 所属分类:硬件开发

    • 发布日期:2010-07-17
    • 文件大小:276480
    • 提供者:guaguazeezon
  1. VGA显示汉字的VHDL程序

  2. 这是一个用VGA显示汉字的VHDL程序 这是本人自己的杰作希望对大家有所帮助 感谢大家的分享
  3. 所属分类:专业指导

    • 发布日期:2010-08-23
    • 文件大小:2048
    • 提供者:Devinesong
  1. vga显示卡控制器开源项目 vhdl源代码

  2. http://opencores.org/project,vga_lcd Descr iption The OpenCores VGA/LCD Controller core is a WISHBONE revB.3 compliant embedded VGA core capable of driving CRT and LCD displays. It supports user programmable resolutions and video timings, which are
  3. 所属分类:VB

    • 发布日期:2010-11-09
    • 文件大小:676864
    • 提供者:chla
  1. 针对FPGA一个实现vga显示的很好的例程,vhdl语言编写

  2. 针对FPGA一个实现vga显示的很好的例程,vhdl语言编写
  3. 所属分类:其它

    • 发布日期:2011-07-21
    • 文件大小:12288
    • 提供者:lbc6036
  1. VGA显示的VHDL代码

  2. 适应于FPGA的开发,对VGA显示器的驱动程序
  3. 所属分类:硬件开发

    • 发布日期:2011-12-10
    • 文件大小:2048
    • 提供者:yiranxian
  1. 基于de0的vga显示驱动

  2. 基于fpga平台的vga显示,有利于对vhdl的掌握
  3. 所属分类:C/C++

    • 发布日期:2012-10-16
    • 文件大小:39936
    • 提供者:milaghost123
  1. 基于FPGA采用VHDL编写的VGA驱动及图案显示

  2. 包含基本的VGA显示驱动,图形显示,以及将PS2键盘键值在屏幕上输出等功能。
  3. 所属分类:嵌入式

    • 发布日期:2013-03-19
    • 文件大小:13312
    • 提供者:yn901218
  1. VGA任意地方显示常用的字符

  2. VHDL 实现,PS2键盘的接收部分(主机一般很少向键盘发送数据),带FIFO 的。显示通码断码,八个数码管显示。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-08
    • 文件大小:2097152
    • 提供者:dytdyt2010
  1. VGA显示,PS2键盘控制乒乓球游戏

  2. 乒乓球游戏,pong_game.VHDL 语言,可实现两人对打,VGA显示,PS2键盘控制,界面友好,有开始界面,进行界面,和结束界面,花了一个月慢慢调试的,所有源代码共享,里面有根据自己理解写的注释,仅供参考。
  3. 所属分类:硬件开发

    • 发布日期:2013-05-08
    • 文件大小:9437184
    • 提供者:dytdyt2010
  1. 贪食蛇游戏VHDL设计实验报告

  2. 基于ISE平台的VHDL语言贪食蛇设计,实现VGA显示,键盘控制!
  3. 所属分类:硬件开发

    • 发布日期:2013-06-22
    • 文件大小:1048576
    • 提供者:u011161347
  1. OV7670 VHDL图像采集 VGA显示

  2. OV7670 VHDL图像采集 VGA显示
  3. 所属分类:硬件开发

    • 发布日期:2014-06-12
    • 文件大小:101376
    • 提供者:xiabodan
  1. 基于FPGA的VGA显示图像的程序设计

  2. 这是一款在VGA上显示图像的程序,是基于FPGA的芯片,用VHDL语言编写,非常实用。
  3. 所属分类:其它

    • 发布日期:2014-12-14
    • 文件大小:76800
    • 提供者:xianboshen
  1. 基于FPGA的VGA显示模式和像素频率的识别

  2. 难得的FPGA设计VGA的资料,给出了原理图,VHDL程序
  3. 所属分类:硬件开发

    • 发布日期:2008-12-11
    • 文件大小:243712
    • 提供者:jiangping2007
  1. FPGA实现VGA的字符显示

  2. 利用FPGA实现VGA显示字符NENU,并且通过按键实现字符的移动,VGA使用ADV7123芯片
  3. 所属分类:硬件开发

    • 发布日期:2018-04-18
    • 文件大小:2097152
    • 提供者:chenqh848
  1. VGA显示驱动Verilog/VHDL程序

  2. VGA显示驱动实验程序: 在VGA显示器上显示背景色为蓝色,中央显示一个绿色的边框和一个粉色的矩形。 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
  3. 所属分类:硬件开发

    • 发布日期:2018-08-01
    • 文件大小:212992
    • 提供者:u013344371
« 12 3 4 »