您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VGA驱动与实现pdf

  2. VGA驱动与实现,漂亮的PDF文档,有用。
  3. 所属分类:其它

    • 发布日期:2010-03-31
    • 文件大小:885760
    • 提供者:z84297979
  1. VGA驱动与实现,很不错的哦

  2. 本文是关于VGA驱动与实现的,希望能帮助到各位需要的同仁朋友们!
  3. 所属分类:专业指导

  1. altera官方vga驱动参考设计

  2. altera官方的VGA驱动,相关资料,包括源代码和说明文件 基于NIOS II
  3. 所属分类:硬件开发

    • 发布日期:2011-04-05
    • 文件大小:617472
    • 提供者:kexinqiji
  1. VGA原理与实现, VGA驱动

  2. VGA驱动与实现. VGA分类,VGA时序
  3. 所属分类:其它

    • 发布日期:2011-07-04
    • 文件大小:885760
    • 提供者:zhangyanquen
  1. VGA驱动与实现

  2. VGA驱动与实现,描述了VGA端口的定义,管脚描述,电气特性,工作方式,时序图
  3. 所属分类:C/C++

    • 发布日期:2011-09-12
    • 文件大小:885760
    • 提供者:jgpiuu
  1. VGA驱动及实现

  2. 第一章 VGA 简介 第二章 时序实现 第三章 OVGA 项目
  3. 所属分类:硬件开发

    • 发布日期:2011-09-18
    • 文件大小:885760
    • 提供者:kevin_me
  1. vga驱动(verilog)

  2. 用verilog实现的VGA驱动,在显示器上显示简单的颜色,CPLD用的是MAXII系里
  3. 所属分类:硬件开发

    • 发布日期:2012-03-28
    • 文件大小:204800
    • 提供者:bruce_iphone
  1. FPGA VGA 驱动

  2. fpga的VGA驱动,测试可用。扫描分辨率可以自己更改,不同晶振可能需要写个分频函数!
  3. 所属分类:硬件开发

    • 发布日期:2012-03-29
    • 文件大小:536576
    • 提供者:eegbc
  1. VGA驱动资料,驱动方法

  2. 介绍VGA有关知识,详细的VGA驱动资料
  3. 所属分类:硬件开发

    • 发布日期:2012-06-07
    • 文件大小:885760
    • 提供者:jiafulu
  1. VGA驱动与实现

  2. 计算机VGA接口驱动与实现技术,PDF文档
  3. 所属分类:专业指导

    • 发布日期:2013-02-20
    • 文件大小:885760
    • 提供者:zhengyinzhong
  1. hp7700 vga 驱动

  2. hp7700 vga 驱动
  3. 所属分类:其它

    • 发布日期:2013-03-11
    • 文件大小:23068672
    • 提供者:pioneerliu
  1. 黑金—VGA驱动

  2. 黑金—VGA驱动,此代码可以直接下载到黑金板子中,芯片为EP2C8Q208C8
  3. 所属分类:其它

    • 发布日期:2013-06-06
    • 文件大小:552960
    • 提供者:xiaobeinan
  1. VGA驱动与实现

  2. VGA驱动与实现 VGA驱动与实现 VGA驱动与实现 VGA驱动与实现
  3. 所属分类:其它

    • 发布日期:2013-07-28
    • 文件大小:885760
    • 提供者:u011534686
  1. 半定制设计之vga驱动电路设计

  2. 输入24bitRGB信号存放于片外SRAM,输出VGA驱动信号 (1)显示数据24bit构成MSB为R,LSB为B; (2)构造SRAM访问电路,设计输出驱动时序电路; (3)屏幕800*600;刷新时间33ms;时钟40MHz; (4)使用SMIC工艺库smic18_IP6M完成设计; (5)完成全部流程:设计规范文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、物理验证。
  3. 所属分类:硬件开发

    • 发布日期:2015-08-26
    • 文件大小:5242880
    • 提供者:huisesiyu
  1. Fpga VGA驱动与实现

  2. Fpga VGA驱动与实现 原理及 verlog 实现
  3. 所属分类:硬件开发

    • 发布日期:2015-08-28
    • 文件大小:1048576
    • 提供者:shedow
  1. USB转VGA驱动

  2. 万能的VGA驱动
  3. 所属分类:桌面系统

    • 发布日期:2017-06-07
    • 文件大小:7340032
    • 提供者:u013836909
  1. fpga开发VGA驱动

  2. FPGA开发的VGA驱动,代码使用常见的Verilog语言,还不够五十个字
  3. 所属分类:硬件开发

    • 发布日期:2018-01-08
    • 文件大小:3145728
    • 提供者:qq_15088587
  1. verilog实现的VGA驱动

  2. 在epm240上实现vga的驱动,这程序在实验小班上运行良好。
  3. 所属分类:其它

    • 发布日期:2009-04-17
    • 文件大小:233472
    • 提供者:terzhu
  1. USB-VGA驱动

  2. USB转VGA驱动少,用于USB线转VGA线的驱动,可靠资源,放心使用
  3. 所属分类:网管软件

    • 发布日期:2018-03-27
    • 文件大小:5242880
    • 提供者:lanxing3860
  1. 华为海思3531a 视频ADV7842 HDMI VGA驱动程序

  2. 华为海思3531a的视频驱动,ADV7842 HDMI VGA驱动程序 ADV7842 驱动程序
  3. 所属分类:编解码

    • 发布日期:2018-05-18
    • 文件大小:5242880
    • 提供者:kuangdoushi
« 12 3 4 5 6 7 8 9 10 ... 22 »