您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 出租车计费器的设计基于vhdl

  2. 我和搭档设计了一个通过VHDL语言设计的控制,附加一定外围电路组成的出租车计费器系统。出租车的计费系统是VHDL的简单应用,利用VHDL语言设计出租车计费系统,使其实现了计程模块、计费模块、计时模块以及动态扫描模块等的设计方法与技巧。突出了其作为硬件描述语言的良好的可读性、可移植性和易理解等优点。此程序通过下载到特定
  3. 所属分类:专业指导

    • 发布日期:2009-05-02
    • 文件大小:139264
    • 提供者:zhanggemei1984
  1. 出租车VHDL设计报告

  2. 全套装备尽在里面!!!包括代码及仿真图,应有尽有!!
  3. 所属分类:嵌入式

    • 发布日期:2009-06-03
    • 文件大小:317440
    • 提供者:bieer1988
  1. 数字电路课程设计(出租车计价器)

  2. 数字电路课程设计、出租车计价器、VHDL语言、分频、maxplusII,易于理解。
  3. 所属分类:专业指导

    • 发布日期:2009-07-24
    • 文件大小:3072
    • 提供者:kaka11047
  1. vhdl出租车计费器doc.rar

  2. vhdl设计实现的出租车计费器.该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目。
  3. 所属分类:嵌入式

    • 发布日期:2009-11-16
    • 文件大小:164864
    • 提供者:x23715317
  1. CPLD/FPGA 出租车计价器的设计

  2. 设计一个出租车计价器,能按照路程计费,具体要求如下: (1)里程计费:按照行驶里程计费,起步价为6.00元,并在车行驶3Km后按1.5元/Km计费,当行车距离大于10km后,每千米加收50%的车费,车停止和暂停不计费。 (2)面板显示:设计车费和路程显示器,分别采用3位数码管动态扫描电路实现,即各有一位小数。 (3)采用开关模拟出租车的起动(司机翻下空车标牌)、停止(司机翻上空车标牌)、暂停。 2. 设计要求: 本设计中分模块必须由VHDL语言编程实现,总系统可以采用原理图或VHDL文本实现,
  3. 所属分类:硬件开发

    • 发布日期:2010-01-08
    • 文件大小:141312
    • 提供者:fuchanghu
  1. VHDL语言实现出租车计价系统

  2. 该出租车计价系统是以FPGA平台为中心、以VHDL语言为基础、通过二端开关控制并实现出租车费用计价、里程计数及等待时间计时,并附有起步价可调,LED显示和复位等功能。本设计在QuartusII的软件平台下实现了主模块和译码模块,扫描模块,及跑马灯模块的引脚连接,集成度佳,可拓展空间大,有一定的应用价值。
  3. 所属分类:硬件开发

    • 发布日期:2010-04-23
    • 文件大小:98304
    • 提供者:zhaojiemail
  1. 基于VHDL的出租车计费系统

  2. 该系统利用VHDL语言、PLD设计出租车计费系统,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了程序仿真。使其实现计费以及预置和模拟汽车启动、停止、暂停等功能,并动态扫描显示车费数目
  3. 所属分类:嵌入式

    • 发布日期:2010-05-13
    • 文件大小:15360
    • 提供者:lilu2424
  1. FPGA开发VHDL设计实例程序

  2. FPGA开发VHDL设计实例程序 有27个设计实例,包括DA/AD,频率计、电子琴、波形发生、出租车计价器等等
  3. 所属分类:硬件开发

    • 发布日期:2010-11-03
    • 文件大小:1048576
    • 提供者:a597667465
  1. 基于VHDL的出租车计费器设计

  2. 出租车计价器是出租车营运收费的专用智能化仪表,是出租车市场规范化 、标准化以及减少司机与乘客之间发生纠纷的重要设备。一种功能完备、简单易用、计量准确的出租车计价器是加强出租车行业管理、提高服务质量的必备品。本文介绍了出租车计价器系统在实际生产生活中的重要性,根据预定的设计要求和设计思路,采用VHDL硬件描述语言作为设计手段,采用自顶向下的设计思路设计了一个实际的基于AheraFPGA芯片的出租车计价系统,通过在QuartusⅡ6.0软件下进行模拟仿真,并进行相应的硬件下载调试,证明该出租车计价
  3. 所属分类:嵌入式

    • 发布日期:2010-11-25
    • 文件大小:191488
    • 提供者:ke_aihcc
  1. 出租车自动计费器的设计

  2. 设计一个出租车自动计费器,计费包括起步价、行车里程计费、等待时间计费3部分,用4位数码管显示金额、最大值为999.9元,最小计价单元为0.1元,行程3公里内,且等待累计时间3分钟内,起步费为8元,超过3公里,以每公里1.6元计费,等待时间单价为每分钟1元;用两位数码管显示等待时间,最大值为59min。出租车自动计费器的设计
  3. 所属分类:专业指导

    • 发布日期:2011-01-17
    • 文件大小:158720
    • 提供者:DCZ98178
  1. 基于AVR单片机和FPGA的出租车计费系统

  2. 基于AVR单片机和FPGA的出租车计费系统 摘 要 随着出租车行业的发展,对出租车计费器的要求也越来越高,用户不仅要求计费器性能稳定、计费准确,同时还要求有更多的功能。传统出租车计费器多数由单片机实现,存在功能少,升级繁琐等问题。基于MCU和FPGA的出租车计费器可以解决电子系统小型化、低功耗、高可靠性等问题,而且可以实现更多的功能和扩展需求,大大增强系统的可靠性。AVR单片机和Altera公司的FPGA都支持在线升级,使系统维护变的非常简单。特别是对小批量、多品种的产品需求,基于MCU和FP
  3. 所属分类:专业指导

    • 发布日期:2011-03-19
    • 文件大小:2097152
    • 提供者:hechishiyu1
  1. 出租车计费系统--VHDL语言--MAX+PLUSⅡ,毕业设计

  2. 毕业设计,想要的就来吧! 该系统利用VHDL语言、PLD设计出租车计费系统,以 MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统 程序并进行了程序仿真。使其实现计费以及预置和模拟汽 车启动、停止、暂停等功能,并动态扫描显示车费数目。
  3. 所属分类:专业指导

    • 发布日期:2011-04-04
    • 文件大小:164864
    • 提供者:db185374596
  1. 一种基于VHDL语言的出租车计费器的研究

  2. 介绍应用VHDL语言设计的出租车计费器的一种新的方案, 使其具有模拟出租车起动、停止、暂停和加速等功能, 并用动态扫描电路显示出租车所走的里程, 显示所走路程需要的费用。所有源程序经Altrera 公司的软件Max+ Plus2 调试、优化,仿真正确, 下载到Altrera公司的EPF10K10LC84
  3. 所属分类:其它

    • 发布日期:2011-04-17
    • 文件大小:162816
    • 提供者:zcxhit
  1. 基于FGPA出租车计费器的设计

  2. 本文介绍了一种采用FPGA芯片设计出租车计费器的方法。介绍了该计费器的主要组成单元—速度模块、计程模块、计时模块及计费模块的设计方法,同时给出了详细的仿真波形,实现了出租车按行驶里程自主收费,并解决了出租车计费低功耗问题,同时提高了计费系统的可靠性、通用性,还能模拟汽车启动、停止、暂停车速等状态。
  3. 所属分类:教育

    • 发布日期:2011-05-22
    • 文件大小:396288
    • 提供者:zjb198731
  1. 基于VHDL的出租车计价器设计

  2. 1.设计一个出租车自动计费器,总价包括起步价(4元)、正常行驶计费和等待时间计费三个部分。2.起步价4元限制在3公里内,且等待时间不超过2分钟;3公里外按2元每/公里计费;等待时间按每2分钟1元计费。3.最终结果用数码管显示出来,包括行驶公里总数、等待时间和总价三个部分。
  3. 所属分类:嵌入式

    • 发布日期:2011-05-25
    • 文件大小:11264
    • 提供者:owentang891202
  1. 出租车计费系统程序VHDL

  2. 设计内容、技术条件和要求 1、 能够实现计费功能: 费用的计算是按行驶里程收费,设出租车的起价为5.00元,当里程小于3km时,按起价计算收费;当里程大于3km时每公里按1.3元计费。等待累计时间超过2min,按每分钟1.5元计费。所以总费用按下式计算: 总费用=起价费+(里程-3km)×里程单价+等候时间×等候单价 2、能够实现显示功能: ① 显示汽车行驶里程:用四位数字显示,显示方式为“XXXX”,单位为km。计程范围为0~99km,计程分辨率为1km。 ② 显示等候时间:用两位数字显示分
  3. 所属分类:专业指导

    • 发布日期:2011-08-08
    • 文件大小:3072
    • 提供者:fyh_mydream
  1. 出租车自动计费器

  2. 出租车自动计费器,本文介绍了一种采用单片CPLD芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用CPLD的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费器体积更小功能更强大。本设计实现了出租车计费器所需的一些基本功能,计费包括起步价、行车里程计费、等待时间计费,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中。主要包括采用了CPLD芯片,使用VHDL语言进行编程,使其具有了更强的移植性,更加利于产品升级。
  3. 所属分类:数据库

    • 发布日期:2011-11-24
    • 文件大小:185344
    • 提供者:eason96412584
  1. VHDL实现出租车计价器

  2. VHDL实现出租车计价器,可以模仿出租车启动计程、停止等待、译码显示功能
  3. 所属分类:其它

    • 发布日期:2013-07-03
    • 文件大小:3145728
    • 提供者:myexperient
  1. 用VHDL设计出租车计费系统

  2. 出租车计价系统较多的是利用单片机进行控制,但较易被私自改装,且故障率相对较高,且不易升级;而FPGA具有高密度、可编程及有强大的软件支持等特点,所以设计的产品具有功能强、可靠性高、易于修改等特点。本文正是基于FPGA,设计了一种出租车的计费系统,它可以直观地显示出租车行驶的里程和乘客应付的费用。
  3. 所属分类:其它

    • 发布日期:2020-10-18
    • 文件大小:160768
    • 提供者:weixin_38534344
  1. 基于FPGA的出租车计费系统的设计与实现

  2. 为生产可靠、稳定且灵活性好、开发周期短、效率高、维护简单的出租车计费器,提出了在QuartusII 9.0 软件平台上,基于FPGA的出租车计费器的设计方案。通过VHDL描述出租车计费系统的操作流程及控制方案,实现模拟汽车的启动、停止、计费、暂停、预置等功能,并用LCD1602显示车费、里程、等待时间、行驶单价等信息。该系统配合车速传感器可应用在不同车型的出租车上,并达到预期功能。
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:4194304
    • 提供者:weixin_38716081
« 12 »