您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL常用的程序源码

  2. 很好的VHDL程序代码,值得一看。有一些常用的代码,适合于新手学习学习。
  3. 所属分类:其它

    • 发布日期:2009-06-19
    • 文件大小:1048576
    • 提供者:smilepksad
  1. VHDL程序源码VHDL程序源码

  2. VHDL程序源码VHDL程序源码VHDL程序源码VHDL程序源码VHDL程序源码VHDL程序源码VHDL程序源码VHDL程序源码VHDL程序源码
  3. 所属分类:其它

    • 发布日期:2009-06-25
    • 文件大小:6291456
    • 提供者:zhangeh1983
  1. FPGA / VHDL中文教程

  2. 包括: QuartusII中文教程和详细教程(pdf) VHDL程序源码与仿真 FPGA
  3. 所属分类:硬件开发

    • 发布日期:2009-06-29
    • 文件大小:7340032
    • 提供者:liuyang19870715
  1. 用VHDL写的LCD FM12232F程序源码

  2. 该程序是用VHDL写的FM12232F源码,已经运行成功,供大家参考。
  3. 所属分类:硬件开发

    • 发布日期:2009-11-11
    • 文件大小:464896
    • 提供者:cheng_bingyuan
  1. VHDL常用的22个子程序源码

  2. VHDL常用的22个子程序源码。。。。。。。VHDL常用的22个子程序源码
  3. 所属分类:其它

    • 发布日期:2011-07-21
    • 文件大小:25600
    • 提供者:lbc6036
  1. VHDL 数字系统 (AD DA 波形发生器 解调 电子琴)

  2. VHDL 数字系统 (AD DA 波形发生器 解调 电子琴)里面含有很多数字系统的源码程序
  3. 所属分类:硬件开发

    • 发布日期:2011-09-08
    • 文件大小:1048576
    • 提供者:zhuzj1014
  1. URAT VHDL程序与仿真

  2. URAT VHDL程序与仿真 源码 课设用
  3. 所属分类:C/C++

    • 发布日期:2012-05-14
    • 文件大小:88064
    • 提供者:v450love
  1. vhdl教程 挺好的资源

  2. vhdl学习资料,大家喜欢就看看吧VHDL培训教程 第一讲、VHDL简介及其结构 第二讲、VHDL中的对象、操作符、数据类型 第三讲、VHDL中的控制语句及模块 第四讲、状态机的设计VHDL培训教程 欢迎参加VHDL培训 浙江大学电子信息技术研究所 电子设计自动化(EDA)培训中心 编写:王勇 TEL:7951949或7951712 EMAIL:wangy@isee.zju.edu.cn第一讲、VHDL简介及其结构 • 通过本课的学习您可以了解以下几点 1、VHDL 的基本概念 2、VHDL的
  3. 所属分类:C

    • 发布日期:2008-10-27
    • 文件大小:490496
    • 提供者:ylw51100
  1. VHDL程序源码示例

  2. VHDL的程序源码,有44种器件的实例与源码,非常之有用了。
  3. 所属分类:其它

    • 发布日期:2009-04-17
    • 文件大小:43008
    • 提供者:chnzj
  1. My-Tech-Stack:我学到的技术和工具清单-源码

  2. 我的技术栈 我逐渐了解和使用的技术和工具的列表。随着我的进度进行更新。 TLDR: 熟悉几种语言/库/框架/平台-尝试掌握MERN / PHP + MySQL堆栈以及Wordpress进行自由开发。前端可能更强大,但渴望成为经验丰富的全栈Web开发人员。 网络/前端 HTML-尝试使用BEM架构命名类等 CSS,SCSS的基础 Javascr ipt / Ecmascr ipt(原始)-试图跟上新的ES版本并编写面向对象的代码。 进入打字稿等 库: 自举3/4 语义UI jQuery的 速动
  3. 所属分类:其它

    • 发布日期:2021-03-19
    • 文件大小:2048
    • 提供者:weixin_42118011
  1. thdl:thdl是一堆用于简化VHDL语言工作的工具(隐藏在单个程序中)-源码

  2. thdl:thdl是一堆用于简化VHDL语言工作的工具(隐藏在单个程序中)
  3. 所属分类:其它

    • 发布日期:2021-03-19
    • 文件大小:5120
    • 提供者:weixin_42120275
  1. Digital-electronics-1:布尔诺工业大学的VHDL课程-源码

  2. 数码电子1 该资料库包含捷克布尔诺工业大学学士学位课程的VHDL实验练习。 练习题 EDA游乐场 维瓦多 多个七段显示器的驱动程序 跑表 交通灯控制器 VHDL项目 9.-13。 一般说明 材料 以下硬件和软件组件主要在实验室中使用。 硬件 FPGA训练板: ,,XC7A50T-1CSG324C , 示波器是德科技 (350 MHz,4个模拟通道),包括16个逻辑定时通道以及串行协议触发和解码选件 软件 Design Suite 2020.1: 参考 ASHENDEN,PeterJ
  3. 所属分类:其它

    • 发布日期:2021-03-10
    • 文件大小:14680064
    • 提供者:weixin_42130889
  1. amagalhaes31:了解我-源码

  2. :waving_hand: 你好,世界 ! :man: 我是来自的亚历山大·玛格琳(AlexandreMagalhães) 我是软件工程师。 我用C,C ++和VHDL / Verilog语言为数字电视(ISDB和ATSC)设备编程。 我是学习新技术的热心者。 编程时失去睡眠时间是一种真正的嗜好。 关于我的更多... :telescope: 我目前在Alarm System上工作。 :seedling: 我目前正在使用ESP32了解物联网。 :people_with_bunny_ear
  3. 所属分类:其它

    • 发布日期:2021-03-10
    • 文件大小:1024
    • 提供者:weixin_42132056
  1. 我的工作-源码

  2. 欢迎来到我的工作, 在这里,您可以找到我在以下领域所做的人员研究或项目:物联网,FPGA,嵌入式系统,网络安全,无线电信 学校项目(中南特+安东尼大学): 此文字为紫色, 残疾人机电式台球桌(Java android应用程序,C,Arduino套件): 解码GSM,UMTS和LTE中的layer3协议的无线电消息 用于火灾探测的相机系统(Matlab图像处理): 功能和逻辑研究模式研究 3èmeet4èmegénération网站的规划与优化 小型研究与项目: 道德骇客(30
  3. 所属分类:其它

    • 发布日期:2021-02-26
    • 文件大小:1024
    • 提供者:weixin_42131728
  1. Registro-de-corrimiento-de-8位-源码

  2. 8位注册机 科迪哥 档案存储库VHDL。 74 c74194_8b c74194_8b_tb 74 Registro de desplazamiento bidireccional de 4位(74LS194)。 c74194_8b 注册8位公用程序组件74LS194。 c74194_8b_tb Testbench de c74194_8b。 Simulación Registro de 8位
  3. 所属分类:其它

    • 发布日期:2021-02-18
    • 文件大小:29696
    • 提供者:weixin_42116650
  1. Digital-electronics-1-源码

  2. Digital-electronics-1 该资料库包含捷克布尔诺工业大学学士学位课程的VHDL实验练习。 练习题 EDA游乐场 组合逻辑 维瓦多 Vivado简介 七段显示解码器 二进制计数器 多个七段显示器的驱动程序 跑表 交通灯控制器 VHDL项目 9.-13。 一般说明
  3. 所属分类:其它

    • 发布日期:2021-02-18
    • 文件大小:1048576
    • 提供者:weixin_42097557
  1. FPGA-LPLIB_GP:具有通用块的VHDL设计存储库。 可以将不同单元视为任何设计的微型IP核-源码

  2. FPGA-LPLIB_GP 具有通用块的VHDL设计存储库。 对于任何设计,可以将不同的单元视为微型IP核。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_gp.lst lib.lplib_gp_verif.lst 子模块
  3. 所属分类:其它

    • 发布日期:2021-02-16
    • 文件大小:12288
    • 提供者:weixin_42097450
  1. coen316-cpu:使用VHDL实现的MIPS处理器。 包含20条指令,分为三类:R(寄存器),I(立即)和J(跳转)指令-源码

  2. MIPS CPU 该项目包括使用VHDL的MIPS处理器的设计和开发。 处理器包含20条指令,分为三类:R(寄存器),I(立即)和J(跳转)指令。 指令格式 注册说明 立即指示 无条件跳转指令 标志扩展名格式 一些MIPS指令要求将I格式指令的16位立即数字段(存储在位0到15中)符号扩展为完整的32位宽度。 符号扩展的确切方式取决于要执行的指令类型,如下所示。 数据路径设计 组件说明 PC寄存器 程序计数器寄存器是具有异步复位的32位宽的寄存器。 PC寄存器的输入是下一个地址单元的输出。 P
  3. 所属分类:其它

    • 发布日期:2021-02-15
    • 文件大小:583680
    • 提供者:weixin_42116681
  1. FPGA-LPLIB_ALU:具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu块)的VHDL设计存储库-源码

  2. FPGA-LPLIB_ALU 具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu模块)的VHDL设计存储库。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_alu.lst lib.lplib_alu_verif.ls
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:29696
    • 提供者:weixin_42108054
  1. uscxml:以CC ++编写的SCXML解释器和Transformercompiler,具有对Java,C#,Python和Lua的绑定-源码

  2. uSCXML自述文件 快速链接 它是什么? uSCXML是一个用于处理以文件形式给出的状态图的平台。 它具有可用的实现,并包括三个主要组件: libuscxml : 包含解释器和随附的功能。 uscxml-browser :SCXML文档的符合标准的。 uscxml-transform :实现的集合,可SCXML为例如ANSI-C和VHDL。 可以在检查有关的各种数据模型,绑定和生成器的状态。 安装 尚无安装程序,并且我们不提供任何版本。 只需检查并。 如果您确实在本地下载并构建,则可
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:5242880
    • 提供者:weixin_42131443
« 12 3 »