您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL语言100例

  2. 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程死锁 第22例 振荡与
  3. 所属分类:其它

    • 发布日期:2009-08-31
    • 文件大小:320512
    • 提供者:a339238363
  1. VHDL语言程序设计及应用part1

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:14680064
    • 提供者:qhjt888
  1. VHDL语言程序设计及应用.part2.rar

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:14680064
    • 提供者:qhjt888
  1. VHDL语言程序设计及应用.part3.rar

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:14680064
    • 提供者:qhjt888
  1. VHDL语言程序设计及应用.part4.rar

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:14680064
    • 提供者:qhjt888
  1. VHDL语言程序设计及应用.part7.rar

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:10485760
    • 提供者:qhjt888
  1. VHDL语言程序设计及应用.part5.rar

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:14680064
    • 提供者:qhjt888
  1. VHDL语言程序设计及应用.part6.rar

  2. VHDL语言程序设计及应用(第二版):目录第一章VHDL语言概述 第二章VHDL语言程序的元素 第三章 VHDL语言程序的结构 第四章....
  3. 所属分类:专业指导

    • 发布日期:2009-10-16
    • 文件大小:14680064
    • 提供者:qhjt888
  1. 点阵LED显示驱动 电子信息工程

  2. 点阵LED显示驱动 摘要 本文以Altera公司的EPF10K10系列的FPGA芯片为核心控制器,介绍了LED点阵电子显示屏的动态显示的设计过程。FPGA芯片控制程序采用VHDL语言进行编辑,通过编程控制各显示点对应LED的电平,就可以有效的控制各显示点的亮灭。所显示字符的点阵数据可以自行编写,也可从标准字库中提取。显示屏采用16×16的LED点阵,显示方式采用动态显示,使得图形或文字能够实现静止、移入移出等多种显示方式。本系统涵盖了FPGA芯片和16×16的LED点阵的应用技术。 文中详细介
  3. 所属分类:硬件开发

    • 发布日期:2010-01-04
    • 文件大小:458752
    • 提供者:wentian89618
  1. VHDL语言程序设计及应用 第2版.pdf

  2. VHDL语言程序设计及应用 第2版.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-05-14
    • 文件大小:8388608
    • 提供者:happygrw2010
  1. 基于FPGA的数字秒表设计

  2. 本科生毕业论文(设计)开题报告书 题 目: 基于FPGA的数字秒表设计 学生姓名: *********** 学 号: ********** 专业班级: 自动化******班 指导老师: ************ 2010年 3 月 20 日 论文(设计)题目 ISP技术及其应用研究 课题目的、意义及相关研究动态: 课题设计的主要目的:运用所学的数字电子技术的基本知识和数字电子电路的设计方法,将数字电子技术的基础知识与EDA技术有机地联系起来,EDA电子仿真软件的仿真功能强大,具有完备的文件库,
  3. 所属分类:嵌入式

    • 发布日期:2010-06-16
    • 文件大小:747520
    • 提供者:sanpao2010
  1. vhdl语言设计100例

  2. VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第17例 奇偶校验器 第18例 映射单元库及其使用举 第19例 循环边界常数化测试 第20例 保护保留字 第21例 进程
  3. 所属分类:其它

    • 发布日期:2011-05-24
    • 文件大小:234496
    • 提供者:fqingchan
  1. VHDL语言程序设计及应用

  2. VHDL语言程序设计及应用
  3. 所属分类:硬件开发

    • 发布日期:2011-12-06
    • 文件大小:6291456
    • 提供者:shenquancheng
  1. 智能扫地机VHDL FPGA

  2. 模拟智能扫地机的设计 学号:61010122 姓名:吴细老 1、 申请题目:模拟智能扫地机设计  题目,命题描述(5号宋体) 一. 扫地地图基本制作 1. 设定扫地范围地图,由键盘画图,随意画出一个任意形状图来作为扫地区域。画完图后,按下键后,扫描刚画出来的区域。将扫描所用的时间记录下来作为此次扫地的基本时间。这个时间可以用来自动的扫地机的关闭时间。 2. 测量环境湿度和温度,模拟方法是通过键盘直接设定环境温度和湿度,设定完成后自动形成一个系数,该系数用来控制扫地机的扫地速度,越脏扫描的时间
  3. 所属分类:硬件开发

    • 发布日期:2012-07-12
    • 文件大小:4194304
    • 提供者:wuxilao
  1. VHDL语言程序设计及应用(第2版)

  2. VHDL语言程序设计及应用(第2版) VHDL
  3. 所属分类:硬件开发

    • 发布日期:2012-10-17
    • 文件大小:13631488
    • 提供者:longdonghuo
  1. VHDL语言程序设计及应用

  2. VHDL语言程序设计及应用,还是本不错书
  3. 所属分类:电信

  1. VHDL语言程序设计及应用

  2. VHDL语言程序设计及应用, 作者:姜立东
  3. 所属分类:嵌入式

    • 发布日期:2013-06-21
    • 文件大小:6291456
    • 提供者:u011158597
  1. 《VHDL语言程序设计及应用》附带的光盘.iso

  2. 《VHDL语言程序设计及应用》附带的光盘.iso
  3. 所属分类:硬件开发

    • 发布日期:2008-11-29
    • 文件大小:4194304
    • 提供者:jokering
  1. 显示/光电技术中的用EDA设计LED汉字滚动显示器

  2. 摘要:本文主要讨论了使用EDA工具设计汉字滚动显示器的技术问题。文中首先描述了基于现场可编程门阵列(FPGA)的硬件电路;然后研究了在8×8LED发光二极管点阵上显示滚动汉字的原理,并给出了基于ALTERA的参数化模型库LPM描述其功能的VHDL语言程序设计;最后对使用EDA工具软件加工被显示数据文件的方法进行了讨论。关键词:虚拟器件 VHDL语言及应用 EDA技术 采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB(印制电路板)板级的系统集成。尽管这种方
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:258048
    • 提供者:weixin_38620839
  1. 基于VHDL 状态机设计的智能交通控制灯

  2. 实现路口交通灯系统控制的方法很多,可以用标准逻辑器件、可编程序控制器PLC、单片机等方案来实现。但是这些控制方法的功能修改及调试都需要硬件电路的支持,在一定程度上增加了设计难度。采用EDA技术,应用VHDL硬件电路描述语言实现交通灯系统控制器的设计,利用MAX+PLUSⅡ集成开发环境进行综合、仿真,并下载到CPLD可编程逻辑器件中,完成系统的控制作用。该灯控制逻辑可实现3种颜色灯的交替点亮、时间的倒计时,指挥车辆和行人安全通行。
  3. 所属分类:其它

    • 发布日期:2021-02-01
    • 文件大小:1048576
    • 提供者:weixin_38631329
« 12 3 »