您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VPX标准规范之一/ VITA46.0 Draft Standard

  2. Abstract This standard describes VITA 46.0 Advanced Module Format for VMEbus systems, an evolutionary step forward for the provision of high-speed interconnects in harsh-environment applications. Foreword VME has been the de-facto bus standard for C
  3. 所属分类:硬件开发

    • 发布日期:2010-02-23
    • 文件大小:1048576
    • 提供者:calvinj88
  1. VITA_46.0-2007_VPX_Baseline_Standard

  2. VITA 46.0 2007 VPX Baseline Standard Specifications VPX基础标准2007版
  3. 所属分类:硬件开发

    • 发布日期:2015-11-26
    • 文件大小:6291456
    • 提供者:allnewf
  1. VITA57-FMC接口标准 高速板载子卡标准

  2. FMC子卡设计规范,VITA标准协议。
  3. 所属分类:硬件开发

    • 发布日期:2016-03-10
    • 文件大小:1048576
    • 提供者:lxtx1602
  1. VITA40-49全系列英文规范标准

  2. VITA40-49全系列英文规范标准,是目前最全,最新的VITA协议规范标准,现共享出来给需要的朋友。
  3. 所属分类:硬件开发

    • 发布日期:2017-07-18
    • 文件大小:40894464
    • 提供者:wxss9908
  1. vpx协议ANSI-VITA-46.0标准

  2. VPX是一种高速串行数据总线标准,遵循美国ANSI-VITA-46.0标准。是目前国内背板使用率较高的一种总线规范。
  3. 所属分类:硬件开发

    • 发布日期:2017-10-17
    • 文件大小:6291456
    • 提供者:aaaaaaaa585
  1. VPX标准技术讲座PPT

  2. 讲述VPX先关的规范的讲义PPT,包括vita46系列、VITA 48系列、VITA 65系列等多种规范的应用等,是VPX设计的入门级讲义。
  3. 所属分类:硬件开发

    • 发布日期:2018-03-19
    • 文件大小:22020096
    • 提供者:wangjiao2000
  1. VPX标准文档40-49

  2. VPX的VITA40-49相关的规范,详细、清晰。VPX的VITA40-49相关的规范,详细、清晰。
  3. 所属分类:硬件开发

    • 发布日期:2018-03-26
    • 文件大小:42991616
    • 提供者:songtaoing
  1. ANSI/VITA 62.0 Modular Power Supply Standard

  2. 在美国相关机构官网上花50美元购买的文档。 ANSI/VITA 62.0 Modular Power Supply Standard 该标准提供了建立电源模块的要求,该电源模块可用于为VPX机箱供电。该模块将符合VITA 48规范中VPX模块定义的标准信封。
  3. 所属分类:电信

    • 发布日期:2018-08-08
    • 文件大小:4194304
    • 提供者:hbyanjiazhi163
  1. FPGA标准接口卡规范-VITA57DOT1-中文版

  2. FPGA标准接口卡规范-VITA57DOT1-中文版 有道翻译版本 ,仅供参考
  3. 所属分类:硬件开发

    • 发布日期:2018-11-08
    • 文件大小:3145728
    • 提供者:qq_34488374
  1. VITA 57_1标准规范——FMC-AV57DOT1.pdf

  2. FPGA Mezzanine Card。是一个应用范围、适应环境范围和市场领域范围都很广的通用模块。FMC连接器(FMC Connector)是FMC的一个重要组成部分,它连接由FPGA提供的引脚和FMC子板的I/O接口。最新的连接器技术维护的高性能引脚速度可以达到几个Gb/s。
  3. 所属分类:硬件开发

    • 发布日期:2019-05-23
    • 文件大小:1048576
    • 提供者:weixin_44098748
  1. VITA 46.0-2007.pdf

  2. VPX 46.0标准,vpx标准,包括信号定义和接口规范及推荐的连接器。
  3. 所属分类:硬件开发

    • 发布日期:2019-08-04
    • 文件大小:4194304
    • 提供者:weixin_40588480
  1. VITA 46.9-2010.pdf

  2. vita46.9,vpx标准,包括信号定义和接口规范及推荐的连接器。
  3. 所属分类:硬件开发

    • 发布日期:2019-08-04
    • 文件大小:4194304
    • 提供者:weixin_40588480
  1. VITA78.00-2015宇航总线标准.pdf

  2. VITA78是宇航级总线标注。 VITA成员共同致力于定义和开发关键计算机总线、电路板和体系规范,如VME总线,PCI夹层卡(PMC),VXS,VPX和FMC等等。VITA于1984年成立以来,形成了近100个工作团队,开发了对于全世界关键嵌入式系统设计师来说尤为重要的各项规范和标准。体系覆盖从医学成像到太空发射控制,从半导体加工到国防系统,无一不依托基于VITA技术打造的产品。
  3. 所属分类:硬件开发

    • 发布日期:2020-04-27
    • 文件大小:5242880
    • 提供者:leezongling
  1. PICMG规范简介.pdf

  2. PC总线的演进 PICMG 1.x PCI/ISA/PIC-X无源背板规9PICMG 2.x CompactPCI规范 CompactPCI Express& PXI Express工业计算机总线标准的发展趋势 PXI 量测领域 VME CompactPCI 现场应用 SA PICMG ■高可靠应用 ■郾凵 mllt 2005年11月14日星期 工业计算机总线标准的发展趋势 PXI-EXpress PCI-Express CompactPCl-Express 2005年11月14日星期 IS
  3. 所属分类:硬件开发

    • 发布日期:2019-09-03
    • 文件大小:4194304
    • 提供者:ren_star
  1. VITA42.0-XMC-R2014.pdf XMC标准规范

  2. ANSI/VITA 42.0-2008 (R2014) XMC标准规范 官方英文原版,2014年最新版 目录 1 Introduction 2 Compliance 3 Mezzanine Cards 4 Carrier Board 5 XMC Connector 6 Identification 7 Compatibility Issues
  3. 所属分类:硬件开发

    • 发布日期:2020-06-24
    • 文件大小:1048576
    • 提供者:weixin_48783600
  1. 嵌入式系统/ARM技术中的FMC+标准将嵌入式设计推到全新的高度

  2. 作为使用 FPGA 和高速 I/O 的嵌入式计算设计的重要发展,名为 FMC+ 的最新夹层卡标准将把卡中的千兆位收发器(GT)的总数量从 10 个扩展到 32 个,最大数据速率从 10Gbps 提升到 28Gbps,同时保持与当前 FMC 标准实现向后兼容。   这些功能与使用 JESD204B 串行接口标准的新器件以及 10G 和 40G 光学器件及高速串行存储器也非常吻合。FMC+ 可满足最具挑战性的 I/O 要求,为开发人员提供了双重优势:夹层卡的灵活性,以及单芯片设计的高 I/O 密度
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:200704
    • 提供者:weixin_38551837
  1. 嵌入式系统/ARM技术中的FMC+标准将嵌入式设计推到全新的高度计

  2. 作为使用 FPGA 和高速 I/O 的嵌入式计算设计的重要发展,名为 FMC+ 的最新夹层卡标准将把卡中的千兆位收发器(GT)的总数量从 10 个扩展到 32 个,最大数据速率从 10Gbps 提升到 28Gbps,同时保持与当前 FMC 标准实现向后兼容。     这些功能与使用 JESD204B 串行接口标准的新器件以及 10G 和 40G 光学器件及高速串行存储器也非常吻合。FMC+ 可满足最具挑战性的 I/O 要求,为开发人员提供了双重优势:夹层卡的灵活性,以及单芯片设计的高 I/O
  3. 所属分类:其它

    • 发布日期:2020-10-16
    • 文件大小:219136
    • 提供者:weixin_38735541
  1. VITA 46.9-2010.pdf

  2. ANSI/VITA 46.9 PMC/XMC Rear I/O Fabric Signal Mapping on 3U and 6U VPX Modules Standard 标准规范 官方英文原版
  3. 所属分类:硬件开发

    • 发布日期:2020-11-13
    • 文件大小:5242880
    • 提供者:weixin_48783600
  1. ANSI/VITA 57.1-2008

  2. FMC标准接口卡规范
  3. 所属分类:电信

    • 发布日期:2021-01-06
    • 文件大小:1048576
    • 提供者:wzz110011
  1. VITA 46.6-2013 Gigabit Ethernet on VPX Fabric Connector.pdf

  2. VPX标准中千兆以太网总线的规范,通信行业用 The objectives of this standard are to assign Gigabit Ethernet Port mappings for the purpose of Control Plane communication onto the VPX connectors for both 3U and 6U form factors。
  3. 所属分类:嵌入式

    • 发布日期:2021-02-03
    • 文件大小:1048576
    • 提供者:linuxunion
« 12 »