您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用Verilog代码编写的奇偶分频器

  2. 实现任意奇偶分频用Verilog编写的分频器//偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实现的。如进行N倍偶数分频,那么可以通过由待分频的 //时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,使得下一个时钟从零开始计数。以此循 //环下去。这种方法可以实现任意的偶数分频。
  3. 所属分类:C/C++

    • 发布日期:2010-05-28
    • 文件大小:27648
    • 提供者:olzzz
  1. verilog时钟分频

  2. 一个简单的时钟分频程序,可以实现2、4、8、16、11等偶数和奇数分频。 只需要简单修改一下程序就可以实现任意整数分频
  3. 所属分类:其它

    • 发布日期:2011-07-27
    • 文件大小:1024
    • 提供者:huha3550
  1. 任意分频的verilog 语言实现(占空比50%)

  2. 任意分频的verilog 语言实现(占空比50%) 1. 偶数倍(2N)分频 2. 奇数倍(2N+1)分频 3. N-0.5 倍分频 4. 任意整数带小数分频
  3. 所属分类:硬件开发

    • 发布日期:2012-12-11
    • 文件大小:83968
    • 提供者:noodles5320
  1. 滤波器设计

  2. 基于整数和小数分频的实现原理,提出了整数和小数分频器的算法和结构,采用Verilog 硬件描述语言优化设计了偶数、非50 %占空比和50 %占空比的奇数、半整数分频器,重点对任意小数分频器进行了设计优化。用Qustus Ⅱ进行了仿真,证明了其可行性。 关键词:分频器;Verilog HDL ;优化
  3. 所属分类:C++

    • 发布日期:2014-06-18
    • 文件大小:195584
    • 提供者:baidu_16661229
  1. Verilog奇数次分频的通用程序

  2. 用于EDA分频的实现,并且运用verilog语句进行描述,可实现任意分频(包括奇数和偶数分频)。
  3. 所属分类:其它

    • 发布日期:2014-06-24
    • 文件大小:41984
    • 提供者:baidu_16857661
  1. VERILOG语言编写的偶数与奇数分频程序

  2. 实用verilog语言编写的偶数与奇数分频程序,可以实现任意不带小数分频
  3. 所属分类:其它

    • 发布日期:2015-07-16
    • 文件大小:1048576
    • 提供者:ccxsjz3
  1. 用Verilog实现基于FPG的通用分器

  2. 介绍一种通用的分频器,可实现2~256 之间的任意 奇数、偶数、半整数分频
  3. 所属分类:专业指导

    • 发布日期:2009-03-17
    • 文件大小:68608
    • 提供者:tty_gdl
  1. 任意分频Verilog实现

  2. 可以实现计数和偶数分频,简单实用的小技术,还可以实现任意整数带小数分频
  3. 所属分类:硬件开发

    • 发布日期:2019-03-21
    • 文件大小:63488
    • 提供者:xiaoshu163
  1. 利用Verilog实现奇数倍分频

  2. 分频器是FPGA设计中使用频率非常高的基本设计之一,尽管在目前大部分设计中,广泛使用芯片厂家集成的锁相环资源,如赛灵思(Xilinx)的DLL.来进行时钟的分频,倍频以及相移。但是对于时钟要求不高的基本设计,通过语言进行时钟的分频相移仍然非常流行,首先这种方法可以节省芯片内部的锁相环资源,再者,消耗不多的逻辑单元就可以达到对时钟操作的目的。另一方面,通过语言设计进行时钟分频,可以看出设计者对设计语言的理解程度。因此很多招聘单位在招聘时往往要求应聘者写一个分频器(比如奇数分频)以考核应聘人员的设计
  3. 所属分类:其它

    • 发布日期:2020-07-13
    • 文件大小:57344
    • 提供者:weixin_38732519
  1. Verilog实现偶数的任意分频

  2. 例如你要实现6分频 通过调节 parameter HW = 3 ; parameter LW = 3 ;
  3. 所属分类:其它

    • 发布日期:2020-08-02
    • 文件大小:93184
    • 提供者:WJC1997
  1. 电源技术中的简单组合时序电路设计

  2. 要求: 完成占空比(高电平占一个时钟周期的比例)为0.25的8分频电路模块的Verilog设计,并且设计一个仿真测试用的Verilog程序,从时序上验证分频电路模块的正确性。   整数分频器的设计原理   1.1 偶数倍分频   偶数分频器的实现非常简单,通过计数器计数就完全可以实现。如进行N倍偶数分频,就可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,以使下一个时钟从零开始计数。以此循环,就可以实现任意的偶数分频。   1
  3. 所属分类:其它

    • 发布日期:2020-10-15
    • 文件大小:91136
    • 提供者:weixin_38636655
  1. 简单组合时序电路设计

  2. 要求: 完成占空比(高电平占一个时钟周期的比例)为0.25的8分频电路模块的Verilog设计,并且设计一个仿真测试用的Verilog程序,从时序上验证分频电路模块的正确性。   整数分频器的设计原理   1.1 偶数倍分频   偶数分频器的实现非常简单,通过计数器计数就完全可以实现。如进行N倍偶数分频,就可以通过由待分频的时钟触发计数器计数,当计数器从0计数到N/2-1时,输出时钟进行翻转,并给计数器一个复位信号,以使下一个时钟从零开始计数。以此循环,就可以实现任意的偶数分频。   1
  3. 所属分类:其它

    • 发布日期:2021-01-12
    • 文件大小:82944
    • 提供者:weixin_38737980
  1. verilog实现奇数偶数分频通用代码

  2. 该代码可以实现任意的奇数偶数分频
  3. 所属分类:其它

    • 发布日期:2021-01-10
    • 文件大小:62464
    • 提供者:qq_38374491