您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Xilinx原语的使用方法

  2. Xilinx公司的原语按照功能分为10类,包括:计算组件、I/O端口组件、寄存器和锁存器、时钟组件、处理器组件、移位寄存器、配置和检测组件、RAM/ROM组件、Slice/CLB组件以及G比特收发器组件。本文分别对其进行详细介绍。
  3. 所属分类:专业指导

    • 发布日期:2010-01-21
    • 文件大小:2097152
    • 提供者:yg031
  1. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法1.pdf

  2. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法1.pdf
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:1048576
    • 提供者:hglikun
  1. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法2.pdf

  2. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法2.pdf
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:1048576
    • 提供者:hglikun
  1. Xilinx 原语的使用方法1

  2. Xilinx 原语的使用方法,提供最基本的原语
  3. 所属分类:硬件开发

    • 发布日期:2012-05-30
    • 文件大小:1048576
    • 提供者:heiguang2005
  1. Xilinx公司原语的使用方法

  2. 详细讲述ram初始化的原理、两种方法和步骤
  3. 所属分类:其它

    • 发布日期:2012-12-14
    • 文件大小:54272
    • 提供者:yanghe11234
  1. xilinx原语的使用方法

  2. xilinx原语的使用方法 作为开发时候参考的必备资料
  3. 所属分类:网络基础

    • 发布日期:2015-05-06
    • 文件大小:2097152
    • 提供者:dennishhn
  1. xilinx公司原语资料

  2. 关于xilinx公司的原语资料,主要是对各种原语进行解释以及其使用的方法!
  3. 所属分类:硬件开发

    • 发布日期:2015-12-08
    • 文件大小:2097152
    • 提供者:lishangli10086
  1. xilinx原语的使用方法

  2. 长达31页的xilinx原语的使用方法介绍。特别需要 强调的一点是:对原语的全面掌握是 Xilinx FPGA 开发人员的基本要求。
  3. 所属分类:硬件开发

    • 发布日期:2017-04-11
    • 文件大小:2097152
    • 提供者:l18092482025
  1. xilinx原语的使用方法.pdf

  2. xilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdf
  3. 所属分类:硬件开发

    • 发布日期:2017-09-04
    • 文件大小:2097152
    • 提供者:tengjiexx
  1. xilinx 原语的使用方法

  2. 文名字为 Primitive,是 Xilinx 针对其器件特征开发的一系列常用模 块的名字,用户可以将其看成 Xilinx 公司为用户提供的库函数,类似于 C++ 中的“cout”等关键字,是芯片中的基本元件,代表 FPGA 中实际拥有的硬件逻 辑单元,如 LUT,D 触发器,RAM 等,相当于软件中的机器语言。
  3. 所属分类:硬件开发

    • 发布日期:2018-01-24
    • 文件大小:2097152
    • 提供者:qq_31940323
  1. Xilinx 原语的使用方法.rar

  2. 关于Xilinx原语的使用办法,包括 BUFG、BUFR、BUFIO等一些xilinx的 原语
  3. 所属分类:硬件开发

    • 发布日期:2020-01-16
    • 文件大小:3145728
    • 提供者:weixin_42633185
  1. Xilinx原语的使用方法.pdf

  2. pdf带书签,查找方便,讲解清楚明了 ,有关bufg,bufiO,bufr,dsp48,DCM,时钟和IO的buf原语使用。
  3. 所属分类:电信

    • 发布日期:2020-05-31
    • 文件大小:1048576
    • 提供者:dreamfly5945
  1. FPGA全局时钟资源相关原语及使用

  2. 1、与全局时钟资源相关的原语常用的与全局时钟资源相关的Xilinx器件原语 2、全局时钟资源的使用方法 3、全局时钟资源的例化方法
  3. 所属分类:其它

    • 发布日期:2020-07-18
    • 文件大小:65536
    • 提供者:weixin_38536349
  1. xilinx原语的使用方法.pdf

  2. 原语是FPGA厂商针对其器件特征开发的一系列常用模块的名称。原语是FPGA芯片中基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等。相当于软件中的机器语言。原语在设计中可以直接例化使用,是最直接的代码输入方式
  3. 所属分类:嵌入式

    • 发布日期:2020-12-03
    • 文件大小:2097152
    • 提供者:zedder