您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. verilog 程序

  2. verilog 程序,,,,,,,,,,,,,300examples.pdf module adder4(cout,sum,ina,inb,cin); output[3:0]sum; output cout; input[3:0]ina,inb;
  3. 所属分类:专业指导

    • 发布日期:2009-08-14
    • 文件大小:151552
    • 提供者:yh279111429
  1. 王金明:《Verilog HDL 程序设计教程》程序

  2. `timescale 1ns/1ns `include "adder4.v" module adder_tp; //测试模块的名字 reg[3:0] a,b; //测试输入信号定义为reg 型 reg cin; wire[3:0] sum; //测试输出信号定义为wire 型 wire cout; integer i,j; adder4 adder(sum,cout,a,b,cin); //调用测试对象 always #5 cin=~cin; //设定cin 的取值 initial begin
  3. 所属分类:专业指导

    • 发布日期:2010-07-13
    • 文件大小:151552
    • 提供者:hepeng_221
  1. 王金明:《VerilogHDL程序设计教程》

  2. 完整版学习教程 王金明 第一版的 【例 3.1】4 位全加器 module adder4(cout,sum,ina,inb,cin); output[3:0] sum; output cout; input[3:0] ina,inb; input cin; assign {cout,sum}=ina+inb+cin; endmodule 【例 3.2】4 位计数器 module count4(out,reset,clk); output[3:0] out; input reset,clk; r
  3. 所属分类:专业指导

    • 发布日期:2010-09-27
    • 文件大小:151552
    • 提供者:nnqkl
  1. verilog 例程

  2. 【例 3.1】4 位全加器 module adder4(cout,sum,ina, output[3:0] sum; output cout; input[3:0] ina,inb; input cin; assign {cout,sum}=ina+inb+c endmodule 【例 3.2】4 位计数器 module count4(out,reset,clk output[3:0] out; input reset,clk; reg[3:0] out; always @(posedge c
  3. 所属分类:C

    • 发布日期:2010-10-15
    • 文件大小:113664
    • 提供者:xtetgxa
  1. verilog实例

  2. 基础程4 位全加器timescale 1ns/1ns ìnclude "adder4.v" module adder_tp; //测试模块的名字 reg[3:0] a,b; //测试输入信号定义为 reg 型 reg cin; wire[3:0] sum; //测试输出信号定义为 wire 型 wire cout; integer i,j; adder4 adder(sum,cout,a,b,cin); //调用测试对象 always #5 cin=~cin; //设定 cin 的取值 ini
  3. 所属分类:数据库

    • 发布日期:2013-10-29
    • 文件大小:350208
    • 提供者:u012616069
  1. ED2开发入门

  2. ED2开发入门 基于DE2Board的4位加法器的说明书
  3. 所属分类:专业指导

    • 发布日期:2007-07-03
    • 文件大小:4194304
    • 提供者:fishkking