您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. VHDL多媒体式入门教学资料(aldec公司)

  2. VHDL多媒体式教学资料(aldec公司) 非常好的资料
  3. 所属分类:专业指导

    • 发布日期:2009-07-28
    • 文件大小:3145728
    • 提供者:qz3168765
  1. VHDL多媒体式教学资料(aldec公司)

  2. VHDL多媒体式教学资料(aldec公司).zip
  3. 所属分类:专业指导

    • 发布日期:2009-11-14
    • 文件大小:4194304
    • 提供者:qinjianxin0523
  1. VHDL多媒体式教学资料(aldec公司)

  2. aldec公司的VHDL多媒体式教学资料
  3. 所属分类:专业指导

    • 发布日期:2010-05-20
    • 文件大小:4194304
    • 提供者:fjaai
  1. VHDL多媒体式教学资料(aldec公司)

  2. VHDL多媒体式教学资料(aldec公司)
  3. 所属分类:硬件开发

    • 发布日期:2008-05-06
    • 文件大小:4194304
    • 提供者:jiangjg8866
  1. Lattice ispLEVER integration with Aldec Active.doc

  2. Lattice ispLEVER integration with Aldec Active.doc很好的图文教程,教你如何在ISPLEVER中使用ACTIVE HDL来仿真
  3. 所属分类:嵌入式

    • 发布日期:2008-08-31
    • 文件大小:389120
    • 提供者:qian15
  1. aldec_tutorial

  2. Aldec Active-HDL Tutorial 简单的工程建立 仿真 适合初学者
  3. 所属分类:硬件开发

    • 发布日期:2013-05-28
    • 文件大小:683008
    • 提供者:wangyi654321_
  1. aldec active 9.x基本使用说明

  2. aldec active 9.x基本使用说明,此软件类于modelsim 仿真工具,对于其波形比较,调用FPGA开放工具的例如ISE的综合工具很强大。
  3. 所属分类:软件测试

    • 发布日期:2013-08-25
    • 文件大小:598016
    • 提供者:wen06990234
  1. aldec alint 学习资料

  2. aldec alint 是一个设计规则的设定与检查的工具,用于规范FPGA的代码编写
  3. 所属分类:软件测试

    • 发布日期:2013-08-25
    • 文件大小:4194304
    • 提供者:wen06990234
  1. aldec active HDL 培训资料文档和例子1

  2. aldec active HDL 培训资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。资料较大这个是第一部分,总共两个部分。
  3. 所属分类:软件测试

    • 发布日期:2013-08-25
    • 文件大小:27262976
    • 提供者:wen06990234
  1. aldec active HDL 培训全套资料文档和例子2

  2. aldec active HDL 培训全套资料文档和例子,aldec active HDL 一个类似于modelsim的仿真工具,其在生成和查看状态机图,代码含概率,波形比较独具特色,可以和ISE等FPGA等工具无缝的连接。
  3. 所属分类:软件测试

    • 发布日期:2013-08-25
    • 文件大小:23068672
    • 提供者:wen06990234
  1. Aldec HES-DVM用户手册

  2. Aldec公司HES-DVM最新版本用户指南,含Release Note/User Guide/GUI Reference等
  3. 所属分类:硬件开发

    • 发布日期:2017-11-07
    • 文件大小:27262976
    • 提供者:qsh123_123
  1. Aldec Riviera-PRO 2017.07版Datasheet

  2. Aldec公司的FPGA验证平台工具Riviera-PRO的技术文档Datasheet
  3. 所属分类:硬件开发

    • 发布日期:2018-05-16
    • 文件大小:375808
    • 提供者:qsh123_123
  1. Accelerate_DSP_Design_Development_Tailored_Flows

  2. 从算法到FPGA,讲述了常见工具流程,包括Matlab、Aldec的仿真工具、Xilinx实现工具。
  3. 所属分类:硬件开发

    • 发布日期:2019-01-24
    • 文件大小:2097152
    • 提供者:real_pumpkin
  1. ALINT-PRO中文使用教程

  2. 本文为Aldec的linting工具ALINT-PRO的中文培训材料,由Aldec中国的区域技术经理进行翻译、整理和撰写后发布,包含基本操作、快速上手实验等。
  3. 所属分类:硬件开发

    • 发布日期:2019-04-02
    • 文件大小:1048576
    • 提供者:qsh123_123
  1. 用于FPGA硬件加速和设计分割的HES-DVM培训教程

  2. 针对大规模的ASIC/SoC设计,当RTL设计在仿真需要大量的仿真时间时,最好进行FPGA的硬件仿真加速;而且,在原型验证阶段,当一片FPGA无法承载整个设计的综合后网表时,需要进行设计分割。本文为FPGA硬件加速和设计分割管理工具HES-DVM的中文培训教程,由Aldec中国的技术FAE翻译、整理和发布的官方培训材料。
  3. 所属分类:硬件开发

    • 发布日期:2019-04-02
    • 文件大小:2097152
    • 提供者:qsh123_123
  1. eda-playground-readthedocs-io-en-latest.zip

  2. In a separate web browser window, log in to EDA Playground at: http://www.edaplayground.com Log in. Click the Log in button (top right) Then either click on Google or Facebook or register by clicking on ‘Register for a full account’ (which enables al
  3. 所属分类:C++

    • 发布日期:2020-07-29
    • 文件大小:90177536
    • 提供者:guyspring
  1. 通信与网络中的Aldec SFM解决方案可增强基于网络的设计验证

  2. Aldec公司宣布推出Server Farm Manager(SFM)管理软件。通过数百台计算机运行上千的测试案例并提供自动的通过/失败结果和报告,该产品可自动设置、执行和分析仿真结果,因而能够大幅提高基于网络的设计验证进程。     基于SFM的回归自动化能够通过一个基于Web的接口提供并行仿真的自动化管理,SFM不仅能够实现队列和分布执行,而且还能收集仿真结果,将结果与最佳参考进行比对,然后通过企业网(intranet)为所有报告提供全球接入。     SFM是一个基于Web的系统,
  3. 所属分类:其它

    • 发布日期:2020-11-28
    • 文件大小:50176
    • 提供者:weixin_38679277
  1. EDA/PLD中的数字电路设计EDA工具

  2. 分类 产品名 制造商 VHDL/Verilog-HDL Simulator(仿真工具) Active-HDL 美国Aldec公司 VHDL/Verilog-HDL Simulator(仿真工具),图形输入工具 ModelSim/Renoir 美国Mentor Graphics公司 VHDLSimulator(仿真工具) MyVHDL Station 美国MyCAD公司 Cycle Base・Simulator(仿真工具)(Verilog-HDL) TauSim
  3. 所属分类:其它

    • 发布日期:2020-12-09
    • 文件大小:30720
    • 提供者:weixin_38502762
  1. FPGA-LPLIB_GP:具有通用块的VHDL设计存储库。 可以将不同单元视为任何设计的微型IP核-源码

  2. FPGA-LPLIB_GP 具有通用块的VHDL设计存储库。 对于任何设计,可以将不同的单元视为微型IP核。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_gp.lst lib.lplib_gp_verif.lst 子模块
  3. 所属分类:其它

    • 发布日期:2021-02-16
    • 文件大小:12288
    • 提供者:weixin_42097450
  1. FPGA-LPLIB_ALU:具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu块)的VHDL设计存储库-源码

  2. FPGA-LPLIB_ALU 具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu模块)的VHDL设计存储库。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_alu.lst lib.lplib_alu_verif.ls
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:29696
    • 提供者:weixin_42108054
« 12 »