您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. dianti 重要资料和技术手册,请大家下载

  2. dianti 重要资料和技术手册,请大家下载
  3. 所属分类:专业指导

    • 发布日期:2010-01-04
    • 文件大小:13631488
    • 提供者:zhaohqok
  1. 基于EDA的六层电梯控制器

  2. 基于EDA的六层电梯控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u
  3. 所属分类:C

    • 发布日期:2010-06-29
    • 文件大小:35840
    • 提供者:zhanghaobuhui
  1. 基于FPGA电梯控制器VHDL程序与仿真。

  2. 6层楼的电梯控制系统。 entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4,c_u5: in std_logic; --电梯外人的上升请求信号 c_d2,c_d3,c_d4,c_d5,c_d6 : in std_logic; --电梯外人的下降请求信号 d1,d2,d3,d4,
  3. 所属分类:C

    • 发布日期:2010-12-02
    • 文件大小:258048
    • 提供者:TOMORROW_LIU
  1. 六层电梯控制器的VHDL设计

  2. VHDL控制器 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity dianti is port ( clk : in std_logic; --时钟信号(频率为2Hz) full,deng,quick,clr : in std_logic; --超载、关门中断、提前关门清除报警信号 c_u1,c_u2,c_u3,c_u4
  3. 所属分类:C

    • 发布日期:2010-12-24
    • 文件大小:258048
    • 提供者:jsycjxx
  1. 电梯控制分析

  2. 电梯系统分析:梯控类型,梯控时间,日期转换,楼层码转换,一卡一密,金博解密,康拓效验,前景效验,卡达6,卡立德等其它效验。
  3. 所属分类:嵌入式

    • 发布日期:2018-08-29
    • 文件大小:2097152
    • 提供者:qq_42951226
  1. 卡达7发卡程序

  2. 一、名词解释 二、业主卡的新卡发放 三、业主卡的数据变更 四、业主卡的充值 五、业主卡的遗失补办 六、管理人卡的新卡发放 七、管理人卡的数据变更 八、管理人卡的遗失补办 九、乘梯卡(业主卡或管理人卡)的启禁用操作 十、设置控制的时间 十一、设置按钮响应时间 十二、设置访客按钮响应时间 十三、电梯运行设置 十四、刷卡记录读取操作 十五、控制器信息读取操作 十六、业主卡信息、管理人卡信息、收费记录等的统计、查询、报表输出操作 十七、电梯管理操作 十八、软件用户管理操作 十九、通讯端口设置操作 二十
  3. 所属分类:嵌入式

    • 发布日期:2018-08-29
    • 文件大小:32505856
    • 提供者:qq_42951226