您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA实用教程课件 下载

  2. 大家一起学习下EDA实用教程,为更好的使用EDA打下坚实的基础!!!!!!!
  3. 所属分类:专业指导

    • 发布日期:2009-05-06
    • 文件大小:7340032
    • 提供者:liuguijun123
  1. EDA技术课件 EDA EDA

  2. 本课件介绍了有关EDA技术的基础知识和应用,对于初学者来是一个不错的教程.
  3. 所属分类:专业指导

    • 发布日期:2009-05-21
    • 文件大小:5242880
    • 提供者:xusenlin
  1. FPGA设计入门基础超级教程

  2. FPGA设计入门基础超级教程 一、基于FPGA的设计流程和方法 所谓的 “基于FPGA的设计”---是指使用FPGA器件作为载体,借助EDA工具实现有限功能数字系统的技术过程。 实际上就是:系统功能定义具体FPGA电路实现 的若干个影射和变换的技术过程。 1、系统设计(制定系统规范)---手工完成 2、模块设计---手工完成 3、设计输入---手工完成 4、功能仿真 5、综合、优化和映射 6、布局与布线,生成编程文件 7、时序仿真---借助EDA工具手工完成 8、器件的编成和测试
  3. 所属分类:硬件开发

    • 发布日期:2009-07-28
    • 文件大小:15728640
    • 提供者:qz3168765
  1. EDA技术和VHDL.

  2. 初学者必备VHDL基础,除了基础语法外,还有具体的操作,编译仿真等等,比如testbench的编写,宏的运用等等
  3. 所属分类:嵌入式

    • 发布日期:2009-07-29
    • 文件大小:6291456
    • 提供者:xlyjtdd
  1. eda的入门篇,vhdl语言实例

  2. vhdl语言实例,eda设计的基础,是个难得的好资源
  3. 所属分类:专业指导

  1. eda vhdl程序设计大全

  2. 包括eda基础类,提升类和综合类的源程序代码
  3. 所属分类:硬件开发

    • 发布日期:2009-12-30
    • 文件大小:1048576
    • 提供者:sss1987
  1. EDA技术基础入门课件

  2. 本课件对于初学EDA的同学有较强的指导作用,能在短时间内了解到关于EDA的简单语法与算法,并有VHDL的小程序
  3. 所属分类:其它

    • 发布日期:2010-04-11
    • 文件大小:8388608
    • 提供者:xiao123du
  1. EDA基础知识 设计 工具 编程

  2. 20世纪末,数字电子技术得到飞速发展,有力地推动了社会生产力的发展和社会信息化的提高。在其推动下,数字电子技术的应用已经渗透到人类生活的各个方面。从计算机到手机,从数字电话到数字电视,从家用电器到军用设备,从工业自动化到航天技术,都尽可能采用数字电子技术。
  3. 所属分类:专业指导

    • 发布日期:2010-05-12
    • 文件大小:5242880
    • 提供者:huafenglizi
  1. 北航 数字EDA基础 全套课件

  2. 第一讲 Verilog 概述 第二讲 模块 第三讲 VerlogHDL基本语法 第四讲 VerilogHDL建模 ················· 第九讲 QuartuII使用介绍
  3. 所属分类:专业指导

    • 发布日期:2013-01-26
    • 文件大小:973824
    • 提供者:firstfly_7
  1. eda 教程

  2. 基础教程 让你轻松学会eda 前提是你需要看完 呀
  3. 所属分类:其它

    • 发布日期:2013-03-16
    • 文件大小:8388608
    • 提供者:xieyingcai
  1. eda基础知识讲解

  2. 介绍了关于eda技术的发展与VHDL语言的用法
  3. 所属分类:专业指导

    • 发布日期:2013-07-16
    • 文件大小:564224
    • 提供者:u011424687
  1. EDA基础练习

  2. 平时个人学习FPGA时编写的基础程序,方便大家入门,仅供参考。
  3. 所属分类:其它

    • 发布日期:2015-05-31
    • 文件大小:23068672
    • 提供者:u010874186
  1. EDA·基础与Verilog HDL实现

  2. EDA设计,Verilog HDL硬件语言基础
  3. 所属分类:嵌入式

    • 发布日期:2015-11-29
    • 文件大小:1048576
    • 提供者:sinat_29002981
  1. EDA实验6次

  2. EDA基础模块实验验证,基于DE0开发板
  3. 所属分类:硬件开发

    • 发布日期:2017-07-11
    • 文件大小:441344
    • 提供者:qq_38368415
  1. EDA实验报告

  2. EDA基础实验 加减乘除各种运算符 半加全加 时序电路的Verilog设计
  3. 所属分类:讲义

    • 发布日期:2018-01-05
    • 文件大小:1048576
    • 提供者:qq_41593827
  1. EDA基础实验总结报告

  2. 山东大学信息学院EDA基础实验总结报告,内容包含实验1 4选1数据选择器的设计;实验2 四位比较器;实验3 并行加法器设计;实验4 七人表决器;实验5 计数器设计;实验6 巴克码发生器;实验8 交通灯信号控制器设计;
  3. 所属分类:其它

    • 发布日期:2018-10-21
    • 文件大小:678912
    • 提供者:qq_40499185
  1. 立创EDA原理图库与PCB库创建规范.pdf-立创EDA原理图库与PCB库创建规范_2019-07-08.pdf

  2. 立创EDA原理图库与PCB库创建规范.pdf-立创EDA原理图库与PCB库创建规范_2019-07-08.pdf片 U? 有源品振 OSC? Oscillator 无源晶振 X? External Crystal Oscillator 保险丝 Fuse 开关 SW? Switch 按键 KEY? K cy 通用连接器排针 Header 通用连接器-非排针CN? Connector 专用连接器 类型缩写?如:UsB,LPC,DC?,ⅢDM1?,RJ,FPC?,DP?, AUDI0?,SD?等 LE
  3. 所属分类:其它

    • 发布日期:2019-09-03
    • 文件大小:1048576
    • 提供者:weixin_38743968
  1. 关于EDA基础知识的简述

  2. 1、EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了CAD、CAE、EDA三个发展阶段。 2、EDA工具以逻辑模拟、定时分析、故障仿真、自动布局/布线为核心,重点解决电路设计没有完成之前的功能检测问题。 3、EDA工具不仅具有电子系统设计的能力,而且能提供独立于工艺和厂家的系统级设计能力,具有高级抽象的设计构思手段。 4、EDA以大规模可编程逻辑器件为设计的载体,以硬件描述语言(HDL)为系统逻辑描述的主要表达方式,以计算机、大规模可编程逻辑器件的开发软件(MAX+PLUS I
  3. 所属分类:其它

    • 发布日期:2020-07-26
    • 文件大小:70656
    • 提供者:weixin_38586200
  1. 简述EDA基础知识

  2. EDA技术是一门综合的学科,它代表了未来电子设计技术的发展方向,打破了软硬件之间的隔阂。
  3. 所属分类:其它

    • 发布日期:2020-08-21
    • 文件大小:83968
    • 提供者:weixin_38727825
  1. EDA/PLD中的硬件描述语言HDL的现状与发展

  2. 摘要:从数字系统设计的性质出发,结合目前迅速发展的芯片系统,比较、研究各种硬件描述语言;详细阐述各种语言的发展历史、体系结构和设计方法;探讨未来硬件描述语言的发展趋势,同时针对国内EDA基础薄弱的现状,在硬件描述语言方面作了一些有益的思考。 关键词:ASIC 硬件描述语言HDL Verilog HDL VHDL SystemC Superlog 芯片系统SoC引 言  硬件描述语言HDL是一种用形式化方法描述数字电路和系统的语言。利用这种语言,数字电路系统的设计可以从上层到下层(从抽象到具
  3. 所属分类:其它

    • 发布日期:2020-12-10
    • 文件大小:141312
    • 提供者:weixin_38581992
« 12 3 4 5 6 7 8 9 10 ... 28 »