您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA交通灯实验源代码

  2. 1.通过实验了解EDA的作用。 2.熟悉Max+plusⅡ软件的使用方法,并能熟悉使用原理图输入设计法和VHDL文 本输入设计法进行设计。 3.掌握功能分割的方法。 4.熟悉交通灯的工作原理。
  3. 所属分类:交通

    • 发布日期:2009-05-20
    • 文件大小:3072
    • 提供者:kjlm220022
  1. eda交通灯课程设计

  2. 很好的eda交通灯课设,eda交通灯课设
  3. 所属分类:交通

    • 发布日期:2009-05-21
    • 文件大小:3072
    • 提供者:mjlbt8687
  1. eda -交通灯设计

  2. 一﹑设计任务 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
  3. 所属分类:交通

    • 发布日期:2010-03-31
    • 文件大小:58368
    • 提供者:s2472890
  1. EDA—交通灯设计 论文

  2. 伴随着社会的发展以及人类生活水平的提高,汽车的数量在D的DEA技术的发展和应用领域的扩大与深入,EDA技术在电子信息,通信,自动,控制及计算机应用等领域的重要性日益突出。随着技术市场与人才市场对DEA的不断的增加,交通的问题日益突出,单单依靠人力来指挥交通已经不可行了,所以,设计交通灯来完成这个需求就显的越加迫切了.为了确保十字路口的行人和车辆顺利、畅通地通过,往往采用电子控制的交通信号来进行指挥。以下就是运用数字电子设计出的交通灯:其中红灯亮,表示该条路禁止通行;黄灯亮表示停车;绿灯亮表示允
  3. 所属分类:交通

    • 发布日期:2010-04-05
    • 文件大小:58368
    • 提供者:kaixinook
  1. eda交通灯设计的程序原理图

  2. 交通灯设计的eda程序,有原理,有程序,还有原理图,可以下载看看
  3. 所属分类:交通

  1. 基于VHDL的EDA交通灯

  2. Quartus||基于VHDL语言的EDA交通灯设计,很不错的设计!
  3. 所属分类:交通

    • 发布日期:2010-06-07
    • 文件大小:3145728
    • 提供者:lmcc3648
  1. 基于VHDL的交通灯设计(EDA课程设计报告)

  2. 这是一份完整的基于VHDL的交通灯设计的EDA课程设计报告。
  3. 所属分类:交通

    • 发布日期:2010-06-26
    • 文件大小:846848
    • 提供者:qiyun1986
  1. EDA交通灯课设报告

  2. 交通灯设计的背景以及交通灯设计的电路、程序等,内容丰富,10分值得
  3. 所属分类:交通

    • 发布日期:2010-07-11
    • 文件大小:1048576
    • 提供者:lifeilxxx1
  1. eda 交通灯控制电路设计 完整报告

  2. eda 交通灯控制电路设计 完整报告 eda 交通灯控制电路设计 完整报告 eda 交通灯控制电路设计 完整报告
  3. 所属分类:交通

    • 发布日期:2010-07-14
    • 文件大小:776192
    • 提供者:hujun552449269
  1. EDA—交通灯设计

  2. EDA—交通灯设计希望能帮助大家!
  3. 所属分类:交通

    • 发布日期:2008-02-19
    • 文件大小:58368
    • 提供者:fhqnui520
  1. EDA交通灯设计程序

  2. 交通灯设计是典型的EDA实验程序,此实验分主支路分别有红绿灯控制
  3. 所属分类:交通

    • 发布日期:2010-11-28
    • 文件大小:1048576
    • 提供者:qq1014561758
  1. 基于EDA交通灯设计

  2. 设计一个主干道和支干道十字路口的交通灯控制电路,要求如下: ①一般情况下,保持主干道畅通,主干道绿灯亮、支干道红灯亮,并且主干道绿灯亮的时间不得少于60s。 ②主干道无车,支干道有车,则主干道红灯亮、支干道绿灯亮,但支干道绿灯亮的时间不得超过30s。 ③每次主干道或支干道绿灯变红灯时,黄灯先亮5s。 设计要求: 1. 有MR(主红)、MY(主黄)、MG(主绿)、CR(乡红)、CY(乡黄)、CG(乡绿)六盏交通灯需要控制; 2. 交通灯由绿转红有5秒黄灯亮的间隔时间,由红转绿没有间隔时间; 3.
  3. 所属分类:3G/移动开发

    • 发布日期:2011-10-28
    • 文件大小:328704
    • 提供者:sxy67395071
  1. eda交通灯设计

  2. eda交通灯设计,主要内容: 设计一个交通信号灯控制器,由一条主干道和一条支干道汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。
  3. 所属分类:数据库

    • 发布日期:2011-12-11
    • 文件大小:111616
    • 提供者:bs13674497457
  1. EDA课程设计之交通灯设计报告

  2. EDA课程设计之交通灯设计报告 内涵截图 源程序
  3. 所属分类:专业指导

    • 发布日期:2011-12-28
    • 文件大小:1048576
    • 提供者:noname123a
  1. EDA交通灯设计论文

  2. EDA 交通灯 课程设计 论文 很好很强大~
  3. 所属分类:专业指导

    • 发布日期:2012-03-05
    • 文件大小:87040
    • 提供者:l461269717
  1. 交通灯设计代码

  2. eda交通灯的设计代码,a.b两个路口设立两个交通灯,a红灯8s,绿灯6s,黄灯3s,b红灯9s,绿灯5s,黄灯3s
  3. 所属分类:软件测试

    • 发布日期:2012-12-24
    • 文件大小:277504
    • 提供者:qq_dd_qq_dd
  1. 基于EDA 的交通灯设计论文及程序

  2. 基于EDA 的交通灯设计论文及程序 包含了整个设计过程及程序设计模块。在开发板上验证实现功能
  3. 所属分类:嵌入式

    • 发布日期:2013-04-26
    • 文件大小:797696
    • 提供者:u010473210
  1. EDA交通灯设计

  2. 基于EDA交通灯设计的毕业设计
  3. 所属分类:网络管理

    • 发布日期:2013-05-07
    • 文件大小:381952
    • 提供者:cassielucy
  1. EDA实验交通灯 设计程序,已通过

  2. EDA实验 交通灯 设计程序,已通过 大学实验
  3. 所属分类:C/C++

    • 发布日期:2014-12-11
    • 文件大小:636928
    • 提供者:baidu_24130311
  1. EDA交通灯设计详细步骤与说明

  2. 设计一个十字路口的交通灯控制系统,用实验平台上的LED发光二极管显示车辆通过的方向(东西和南北各一组),用数码管显示该方向的剩余时间。要求:工作顺序为东西方向红灯亮45秒,前40秒南北方向绿灯亮,后5秒黄灯亮。然后南北方向红灯亮45秒,前40秒东西方向绿灯亮,后5秒黄灯亮。依次重复。有紧急事件时允许将某方向一直开绿灯或者开红灯,另外允许特定情况两方向均为红灯,车辆禁行,比如十字路口恶性交通事故时,东西,南北两个方向均有两位数码管适时显示该方向亮灯时间。
  3. 所属分类:交通

    • 发布日期:2009-01-04
    • 文件大小:485376
    • 提供者:zhouguojian1001
« 12 3 4 5 6 7 8 9 10 »