您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. EDA课程设计 基于FPGA的交通控制器的设计

  2. 本交通灯控制器适用于公路交叉路口主干道的车流量大于次干道车流的情况,主干道定为绿灯35S,黄灯5S,红灯30S;次干道定为红灯40S,绿灯25S,黄灯5S。同时用数码管显示倒计时显示,另外有一个特殊状态,当特殊状态出现时,两个方向都禁止通行,指示红灯,停止计时。特殊状态解除后,恢复计数并指示时间。
  3. 所属分类:专业指导

    • 发布日期:2011-07-05
    • 文件大小:441344
    • 提供者:xiancc
  1. 《现代数字系统设计》课程论文

  2. 《现代数字系统设计》课程论文 基于FPGA的交通灯控制器设计 含代码
  3. 所属分类:硬件开发

    • 发布日期:2012-03-19
    • 文件大小:300032
    • 提供者:lxq151
  1. 交通信号灯控制器代码及说明

  2. 交通信号灯控制器代码及说明,FPGA Verilog语言,课程设计
  3. 所属分类:硬件开发

    • 发布日期:2014-03-18
    • 文件大小:100352
    • 提供者:msrgr
  1. 交通灯控制器课程设计

  2. 学校的课程设计要求,提供资源仅供参考。本课设基于FPGA和verilog-HDL语言进行设计。首发原创!
  3. 所属分类:硬件开发

    • 发布日期:2015-05-24
    • 文件大小:39936
    • 提供者:qq_17106127
  1. 基于FPGA的交通灯课程设计资料.zip

  2. 基于FPGA的交通灯课程设计资料,包括程序源码及论文资料,以FPGA为核心,设计一个交通灯控制器,每个路口都有红、黄、绿三盏灯。该交通信号灯控制器由一条车道A和一条车道B汇合成十字路口,在每个入口处设置红、绿、黄三色信号灯,红灯亮禁止通行,绿灯亮允许通行,黄灯亮则给行驶中的车辆有时间停在禁行线外。用红、绿、黄发光二极管作信号灯。
  3. 所属分类:其它

    • 发布日期:2020-04-12
    • 文件大小:6291456
    • 提供者:weixin_40445526
  1. Digital-electronics-1:布尔诺工业大学的VHDL课程-源码

  2. 数码电子1 该资料库包含捷克布尔诺工业大学学士学位课程的VHDL实验练习。 练习题 EDA游乐场 维瓦多 多个七段显示器的驱动程序 跑表 交通灯控制器 VHDL项目 9.-13。 一般说明 材料 以下硬件和软件组件主要在实验室中使用。 硬件 FPGA训练板: ,,XC7A50T-1CSG324C , 示波器是德科技 (350 MHz,4个模拟通道),包括16个逻辑定时通道以及串行协议触发和解码选件 软件 Design Suite 2020.1: 参考 ASHENDEN,PeterJ
  3. 所属分类:其它

    • 发布日期:2021-03-10
    • 文件大小:14680064
    • 提供者:weixin_42130889