您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 应用密码学,全文,doc被压缩无密码RAR

  2. 应用密码学,全文 序 I W.迪菲(Whitfield Diffie) I 前 言 IV 怎样读这本书? V 致谢 VI 作者简介 VII 第一章 基础知识 1 1.1专业术语 1 1.2 隐写术 7 1.3 代替密码和换位密码 8 1.4 简单异或 11 1.5一次一密乱码本 12 1.6 计算机算法 14 1.7 大数 14 第一篇 密码协议 16 第二章 协议结构模块 16 2.1 协议介绍 16 2.2 使用对称密码术的通信 21 2.3 单向函数 22 2.4 单向Hash函数 23
  3. 所属分类:其它

    • 发布日期:2009-06-28
    • 文件大小:343040
    • 提供者:wyxmy
  1. 单片机应用技术选编(7)

  2. 内容简介    《单片机应用技术选编》(7) 选编了1998年国内50种科技期刊中有关单片机开发应用的文 章共510篇,其中全文编入的有113篇,摘要编入的397篇。全书共分八章,即单片机综合 应用技术;智能仪表与测试技术;网络、通信与数据传输;可靠性与抗干扰技术;控制系统 与功率接口技术;电源技术;实用设计;文章摘要。    本书具有重要实用价值,书中介绍的新技术、新器件以及单片机应用系统的软、硬件资 料有助于减少产品研制过程中的重复性劳动,提高单片机应用技术水平,是从事单片机应用 开发技
  3. 所属分类:硬件开发

    • 发布日期:2010-05-19
    • 文件大小:13631488
    • 提供者:zgraeae
  1. 2.5GBIT/S并行伪随机序列发生技术及在线误码块监测

  2. ,利f L f j F P GA产生j { : 行高速伪随机序列和比特间插奇偶校验8 位码误码块的方法实现了在线误码监测。建立了 点刮点并行高速光传输误码监测实验系统 ,该系统由1 2 x 2 . 5 G b i t / s z q 行高速伪随机序列发生器、在线误码监测模块 、 1 2 x 2 . 5 Gb i t / s 并行光接收模块和 自制垂直激光阵列发射模块构成,- ) t : 行光互联采用带宽为4 0 0 MHz . k m 5 米1 2 ~ t > 6 2 .5 L L m多
  3. 所属分类:专业指导

    • 发布日期:2011-01-10
    • 文件大小:281600
    • 提供者:sinicic
  1. 基于FPGA的m序列发生器的实现

  2. 摘要:讨论了应用移位寄存器理论实现基于FPGA的伪随机序列发生器的方法,通过理论 分析给出了m序列本原多项式与m序列移位寄存器反馈多项式间的关系。同时给出了运用 VHDL语言编程.
  3. 所属分类:3G/移动开发

    • 发布日期:2011-04-12
    • 文件大小:413696
    • 提供者:leslieahoo
  1. 伪随机M序列发生器的vhdl代码及说明

  2. 伪随机M序列发生器的vhdl代码和原理的文档说明
  3. 所属分类:其它

    • 发布日期:2011-09-01
    • 文件大小:101376
    • 提供者:tcyhx
  1. 2011 年全国大学生电子设计竞-简易数字信号传输性能分析仪

  2. 2)设计三个低通滤波器,用来模拟传输信道的幅频特性: a)每个滤波器带外衰减不少于40dB/十倍频程; b)三个滤波器的截止频率分别为100kHz、200kHz、500kHz,截止频 率误差绝对值不大于10%; c)滤波器的通带增益AF 在0.2~4.0 范围内可调。 (3)设计一个伪随机信号发生器用来模拟信道噪声: a)伪随机信号V3 为4 5 12 f2 (x) =1+ x + x + x + x 的m序列; b)数据率为10Mbps,误差绝对值不大于1%; c)输出信号峰峰值为100mV
  3. 所属分类:专业指导

    • 发布日期:2011-09-02
    • 文件大小:192512
    • 提供者:shoujishiming
  1. 011E题 数字信号传输性能分析仪

  2. 本文以FPGA为核心,C8051f330作为控制部分,设计了一种数字信号传输性能分析装置。它由数字信号发生器、伪随机信号发生器、模拟低通滤波器、加法电路以及数字信号分析模块等构成。数字信号发生模块和伪随机信号发生模块由FPGA产生,伪随机信号发生器和低通滤波器模拟传输信道,数字信号分析模块由滤波和整形电路构成。。。。。。,此外本系统还能实现COD等功能,经测试,各项指标均能满足设计要求。
  3. 所属分类:硬件开发

    • 发布日期:2011-09-13
    • 文件大小:1048576
    • 提供者:wmglmy
  1. EDA/SOPC 技术实验讲义

  2. 第一章 EDA_VHDL 实验/设计与电子设计竞赛 4 1-1、 应用QuartusII 完成基本组合电路设计 5 1-2. 应用QuartusII 完成基本时序电路的设计 6 1-3. 设计含异步清0 和同步时钟使能的加法计数器 7 1-4. 7 段数码显示译码器设计 8 1-5. 8 位数码扫描显示电路设计 9 1-6. 数控分频器的设计 10 1-7. 32 位并进/并出移位寄存器设计 10 1-8. 在QuartusII 中用原理图输入法设计8 位全加器 11 1-9. 在Quartu
  3. 所属分类:硬件开发

    • 发布日期:2012-04-18
    • 文件大小:3145728
    • 提供者:xiaosong89
  1. delphi生成随机数

  2. delphi产生随机数2009-07-27 18:58//用delphi产生不重复的随机数 ****************************************************************************************** var aa : array[1..36] of string[2]; //aa数组为需要随机排列的数组,{先把1..36按顺序给aa数组赋值} procedure TForm1.FormCreate(Sender: TOb
  3. 所属分类:Delphi

    • 发布日期:2012-06-18
    • 文件大小:614
    • 提供者:hell_123_jj
  1. m序列发生器 verilog

  2. 用VERILOG 产生伪随机序列,已做仿真。仿真结果包含在工程中。
  3. 所属分类:硬件开发

    • 发布日期:2012-07-12
    • 文件大小:272384
    • 提供者:dwh327091076
  1. 伪随机信号发生器 verilog

  2. 伪随机信号发生器,m序列,用verilog代码编写
  3. 所属分类:硬件开发

  1. m序列发生器.m

  2. m序列是伪随机编码信号 此函数用来生成m序列 coef为反馈系数向量
  3. 所属分类:其它

    • 发布日期:2019-06-04
    • 文件大小:708
    • 提供者:qq_31387349
  1. M序列检测器

  2. EDA课程设计 8位序列检测器 伪随机序列发生器
  3. 所属分类:软件测试

    • 发布日期:2013-01-06
    • 文件大小:1048576
    • 提供者:andyxs521
  1. 基于虚拟仪器的任意m序列设计

  2. 论述了伪随机m序列的产生原理、特性以及构成方法,利用LabWindows/CVI为开发平台,设计了一种2~22级m序列发生器。仿真结果表明,该系统具有远程通信、界面友好、易于集成和扩展等优点,可实现无线激光通信系统误码率的自动化测试。
  3. 所属分类:其它

    • 发布日期:2020-07-21
    • 文件大小:110592
    • 提供者:weixin_38624746
  1. 基于FPGA的m序列信号发生器设计

  2. m序列是最长线性反馈移位寄存器序列的简称,它是由带线性反馈的移位寄存器产生的周期最长的一种伪随机序列。是由移位寄存器、反馈抽头及模2加法器组成。m序列一旦反馈多项式及移位寄存器初值给定,则就是可以预先确定并且可以重复实现的序列,该特点使得m序列在数据白噪化、去白噪化、数据传输加密、解密等通信、控制领域使用广泛。因此,深入学习研究m序列具有重要的实际意义。
  3. 所属分类:其它

    • 发布日期:2020-07-30
    • 文件大小:57344
    • 提供者:weixin_38715772
  1. 基于DSP Builder的伪随机序列发生器设计及FPGA实现

  2. 简要分析了伪随机序列中应用广泛的m序列,Gold序列及平衡Gold码的概念、原理和应用。提出了一种基于Altera的DSP Builder工具箱的伪随机序列产生器设计方法,并通过设计实例,说明这种方法在简化设计难度、提高设计速度和灵活性等方面的优点和应用价值。并提出了其仿真和FPGA实现的基本方法。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:352256
    • 提供者:weixin_38733733
  1. 基础电子中的m序列发生器-伪随机序列发生器

  2. m序列码也称伪随机序列码,其主要特点是:   (1)每个周期中,“1”码出现2n-1次,“0”码出现2n-1次,即0、1出现概率几乎相等。   (2)序列中连1的数目是n,连0的数目是n-1。   (3)分布无规律,具有与白噪声相似的伪随机特性。   由于具有这些特点,m序列码在通信、雷达、系统可靠性测试等方面获得了广泛地应用。m序列码发生器是一种反馈移位型结构的电路,它由n位移位寄存器加异或反馈网络组成,其序列长度M=2n-1,只有一个多余状态即全0状态,所以称为最大线性序列码发生器。
  3. 所属分类:其它

    • 发布日期:2020-11-15
    • 文件大小:84992
    • 提供者:weixin_38689976
  1. 二元给定序列非线性移位寄存器的综合与产生

  2. 摘要:依据非线性移位寄存器的原理,文中讨论二元给定序列非线性反馈移位寄存器的综合算法,用C语言编程,找到了产生该序列的非线性移位寄存器。借助EDA技术,以FPGA为硬件基础,经过设计优化构成定长序列和给定周期序列的伪随机序列发生器,并进行了仿直实验,用硬件实验证实了设计的合理性。 关键词:非线性伪随机序列 非线性移位寄存器 现场可编程门阵列 1 引言 伪随机序列具有良好的随机性,在伪码测距、导航、遥控和遥测、扩频通信、多址通信、分离多径、数据加乱、信号同步、误码测试、线性系统测量、天线方
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:69632
    • 提供者:weixin_38521831
  1. 基于虚拟仪器的任意m序列设计

  2. 论述了伪随机m序列的产生原理、特性以及构成方法,利用LabWindows/CVI为开发平台,设计了一种2~22级m序列发生器。仿真结果表明,该系统具有远程通信、界面友好、易于集成和扩展等优点,可实现无线激光通信系统误码率的自动化测试。
  3. 所属分类:其它

    • 发布日期:2021-03-18
    • 文件大小:622592
    • 提供者:weixin_38645208
  1. 基于FPGA的地震计标定信号发生器的设计与实现

  2. 为配合地震计电磁信息采集系统对地震计进行标定,设计一款基于FPGA的地震计标定信号发生器。以Altera EP2C8T144C8型 FPGA和16位串行DAC芯片DAC8560为核心,利用直接数字频率合成技术、m序列生成技术等产生地震计标定所需信号,设计电路对信号进行偏置、滤波、数字程控调幅、电压电流转换以输出特定的电压、电流信号。使用Verilog HDL语言实现系统软件。将标定信号发生器应用于实验室开发的地震电磁信息采集系统,结果表明,系统可产生地震计标定所需正弦波、方波、伪随机二进制信号,
  3. 所属分类:其它

    • 发布日期:2021-01-31
    • 文件大小:578560
    • 提供者:weixin_38746951