您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Verilog实例(经典135例)

  2. 很实用的Verilog实例! 目录:王金明:《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波
  3. 所属分类:嵌入式

    • 发布日期:2009-09-08
    • 文件大小:130048
    • 提供者:kevinsjtu
  1. verilog HDL经典程序实例135例

  2. Verilog HDL程序设计教程》程序例子,带说明。【例 3.1】4 位全加器 【例 3.2】4 位计数器【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序【例 3.5】“与-或-非”门电路【例 5.1】用 case语句描述的 4 选 1 数据选择器【例 5.2】同步置数、同步清零的计数器【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值【例 5.5】用 begin-end 串行块产生信号波形【例 5.6】用 fork-join 并行块产生信号波形【
  3. 所属分类:嵌入式

    • 发布日期:2010-07-23
    • 文件大小:158720
    • 提供者:do622
  1. 王金明:《Verilog HDL程序设计教程》135例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:嵌入式

    • 发布日期:2011-02-24
    • 文件大小:130048
    • 提供者:zhlyz2003
  1. verilog HDL设计实例

  2. 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行块产生信号波形 【例 5.7】持续赋值方式定义的 2 选
  3. 所属分类:专业指导

    • 发布日期:2011-06-14
    • 文件大小:158720
    • 提供者:wwe12580
  1. VerilogHDL那些事儿

  2. 2010 VERILOG HDL那些事儿 [FPGA黑金开发板配套教程] 黑金动力社区荣誉出品 Http://www.oshcn.com Verilog HDL那些事儿 版本 V 3.0 软件版本:Quartus II 9.0 作者 将随时可能对本教程中癿内容迕行更改,返些改劢丌亊先途知,但将会编入新版教程中,幵上传到相关癿网站上。 版权所有 黑金劢力社区:http://www.oshcn.com http://www.heijin.org 《Verilog HDL的那些事儿》by Akuei
  3. 所属分类:硬件开发

    • 发布日期:2011-07-06
    • 文件大小:17825792
    • 提供者:loongik2010
  1. 流水灯的verilog实现

  2. 控制LED作为跑马灯,并使用仿真,熟悉软件的使用和最基本的流程,诸如时钟概念和管脚分配概念等
  3. 所属分类:硬件开发

    • 发布日期:2011-10-09
    • 文件大小:3145728
    • 提供者:zhangweiyykl
  1. verilog流水灯控制0.5S,1S,1.5S,2S

  2. verilog流水灯控制,8个根,第一轮:0。5S,第二轮:1S.第三轮:1.5S,第四轮:2S
  3. 所属分类:专业指导

    • 发布日期:2011-12-13
    • 文件大小:653312
    • 提供者:jiang504990290
  1. Verilog LED程序

  2. Verilog 控制流水灯,可以控制依次熄灭或依次点亮;还可以选择流动方向。
  3. 所属分类:硬件开发

    • 发布日期:2013-11-27
    • 文件大小:1048576
    • 提供者:u011361296
  1. 基于Nexys3的picoblaze

  2. 基于Nexys3的picoblaze实现串口打印命令菜单可以控制流水灯,VGA,交通灯,有verilog和VHDL双版!
  3. 所属分类:硬件开发

    • 发布日期:2014-01-14
    • 文件大小:6291456
    • 提供者:guaiguaixiangai
  1. verilog 设计流水灯

  2. 流水灯在Verilog语言下的分模块设计。分别是时钟脉冲+计数器+LED控制
  3. 所属分类:专业指导

    • 发布日期:2014-10-09
    • 文件大小:268288
    • 提供者:jiansanjiang
  1. 《 Verilog HDL 程序设计教程》135例,源码

  2. 《 Verilog HDL 程序设计教程》135例; 。【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并行
  3. 所属分类:硬件开发

    • 发布日期:2015-05-27
    • 文件大小:130048
    • 提供者:feng1o
  1. verilog HDL经典实例135例

  2. 《Verilog HDL程序设计教程》程序例子,带说明。 【例 3.1】4 位全加器 【例 3.2】4 位计数器 【例 3.3】4 位全加器的仿真程序 【例 3.4】4 位计数器的仿真程序 【例 3.5】“与-或-非”门电路 【例 5.1】用 case语句描述的 4 选 1 数据选择器 【例 5.2】同步置数、同步清零的计数器 【例 5.4】用 initial过程语句对测试变量 A、B、C 赋值 【例 5.5】用 begin-end 串行块产生信号波形 【例 5.6】用 fork-join 并
  3. 所属分类:嵌入式

    • 发布日期:2009-04-04
    • 文件大小:158720
    • 提供者:ljj0709
  1. vrilog 流水灯

  2. 以Nexys4 DDR开发板上的晶振产生的100M的脉冲作为时钟信号,先进行分频,分频至1HZ,然后驱动十六个led显示按照设置的模式显示。五个拨码开关,SW4,SW3,SW2,SW1,SW0为五个输入信号,可以控制五种闪烁模式,结果在LED15~LED0上显示出来。要求实现如下功能: (1)从右往左依次点亮16个led。 (2)从左往右依次点亮16个led。 (3)从中间往两边依次点亮16个led。 (4)从两边往中间依次点亮16个led。 (5)16个led以1Hz的频率闪烁。
  3. 所属分类:硬件开发

    • 发布日期:2018-06-25
    • 文件大小:741376
    • 提供者:nextpackage
  1. 基于Johnson计数器的流水灯Verilog/VHDL程序

  2. 基于Johnson计数器的流水灯程序: 用四个按键分别去控制4个LED指示灯进行4种状态的流水灯显示,这四种状态分别为:流水灯左移、流水灯右移、流水灯移动和流水灯停止。逻辑功能可以简单地划分为三个部分:即按键检测逻辑、键值采集和控制信号产生以及流水灯开关和方向控制。 文件中包括Verilog和VHDL的两种语言的Quartus II程序,请您参考。
  3. 所属分类:硬件开发

    • 发布日期:2018-08-01
    • 文件大小:134144
    • 提供者:u013344371
  1. 基于FPGA的流水灯

  2. 基于FPGA的流水灯,由Verilog语言开发,100MHZ分频产生模块控制,可供初学者学习使用
  3. 所属分类:硬件开发

    • 发布日期:2018-11-06
    • 文件大小:795648
    • 提供者:qq_37965406
  1. 移位寄存器与流水灯

  2. Verilog写的移位寄存器与流水灯,含三个完整的Quartus II工程文件,shifter是简单移位寄存器,led是手动控制移位流水灯,clock&led;是内部时钟信号控制的流水灯
  3. 所属分类:讲义

    • 发布日期:2019-01-26
    • 文件大小:15728640
    • 提供者:qq_21456825
  1. FPGA实现流水灯控制

  2. 用verilog语言实现流水灯的从左到右的控制,从pll到time_en到water_led的控制连线过程,较为详细的介绍了新建verilog语言。
  3. 所属分类:电信

    • 发布日期:2020-10-14
    • 文件大小:974848
    • 提供者:a1244717995
  1. 基于FPGA的按键流水灯控制

  2. 代码可以通过按键控制流水灯的走向,按键有消抖模块,是流水灯的代码进阶版,代码中对控制部分有详细的注释,建议新手下载练手使用,对系统建模的理解有很大的好处
  3. 所属分类:互联网

    • 发布日期:2020-10-17
    • 文件大小:7340032
    • 提供者:afdgga