您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 基于VHDL的数字时钟的设计

  2. 随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日益突出.EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能.本文介绍了基于VHDL硬件描述语言设计的多功能数字时钟的思路和技巧.在QuartusⅡ开发环境中编译和仿真了所设计的程序,并逐一调试验证程序的运行状况.仿真和验证的结果表明,该设计方法切实可行,该数字时钟
  3. 所属分类:嵌入式

    • 发布日期:2009-05-30
    • 文件大小:293888
    • 提供者:armxing
  1. 基于VHDL的数字时钟设计

  2. VHDL是一种标准的硬件描述语言,该语言可以描述硬件电路的功能、信号连接关系及定时关系,是当今电子设计自动化(EDA)的核心技术.本文通过简易电子表的设计实例,详细介绍了利用VHDL设计电路的流程和方法.
  3. 所属分类:专业指导

    • 发布日期:2009-05-30
    • 文件大小:205824
    • 提供者:armxing
  1. 12/24小时数字时钟设计

  2. 基于VHDL语言的12、24小时数字时钟设计,完整的程序,下载即可用。
  3. 所属分类:专业指导

    • 发布日期:2010-03-10
    • 文件大小:2097152
    • 提供者:baiyun19880902
  1. 数字时钟[VHDL]

  2. 数字时钟设计,是VHDL语言写的,绝对和运行》这是我的上机考试做的
  3. 所属分类:专业指导

    • 发布日期:2010-04-13
    • 文件大小:774144
    • 提供者:women520119
  1. 各种论文收集及杂料\基于VHDL的数字时钟设计

  2. 各种论文收集及杂料\基于VHDL的数字时钟设计
  3. 所属分类:专业指导

    • 发布日期:2010-05-29
    • 文件大小:84992
    • 提供者:zhangmangui
  1. VHDL 数字时钟设计论文

  2. 这是利用VHDL进行数码时钟设计的整篇论文,希望对大家有所帮助。
  3. 所属分类:专业指导

    • 发布日期:2010-06-13
    • 文件大小:153600
    • 提供者:zhangpeng8810
  1. 基于VHDL的数字时钟

  2. 基于VHDL的一款数字时钟设计,简单,而且绝对好用,制作相当容易。
  3. 所属分类:专业指导

    • 发布日期:2010-11-05
    • 文件大小:206848
    • 提供者:shy4813
  1. 基于FPGA的数字时钟设计

  2. 摘要:本实验中我们运用EDA课程中所学的知识,设计了一个拥有时间校正和闹钟功能的24小时制多功能数字时钟。通过本实验,我们初步了解EDA的设计过程;初步掌握用VHDL语言的设计方法和设计思想;初步熟悉Max+Plus II软件平台的编程和仿真,并通过AEDK-EDA实验板下载模拟实现初步了解了硬件实现的方法。
  3. 所属分类:嵌入式

    • 发布日期:2010-12-20
    • 文件大小:130048
    • 提供者:loveenhua
  1. EDA实验报告—数字时钟设计

  2. 一份完整的EDA实验报告——数字时钟设计,含源代码(VHDL语言)。中南大学的同学下载后可以直接使用。
  3. 所属分类:硬件开发

    • 发布日期:2011-07-04
    • 文件大小:227328
    • 提供者:happyhjun
  1. VHDL数字时钟设计(可用)

  2. 个人数字逻辑课程设计作品,全部编译通过在实验板上可行,传上来分享一下,希望对大家有帮助。
  3. 所属分类:嵌入式

    • 发布日期:2011-07-10
    • 文件大小:1048576
    • 提供者:chana0322
  1. 数字钟程序

  2. 详细的数字时钟程序 EDA设计 vhdl语言
  3. 所属分类:硬件开发

    • 发布日期:2011-11-16
    • 文件大小:206848
    • 提供者:syb773849846
  1. 基于vhdl数字时钟设计源码包

  2. 具有时、分、秒计数显示功能,以24小时循环计时,时钟计数显示时有LED灯的花样显示,具有调节小时、分钟及清零的功能。
  3. 所属分类:嵌入式

    • 发布日期:2011-12-14
    • 文件大小:2097152
    • 提供者:zyfak47
  1. 数字时钟设计VHDL

  2. 设计一个可以计时的数字时钟,其显示时间范围是00:00:00~23:59:59,且该时钟具有暂停计时、清零等功能。
  3. 所属分类:嵌入式

    • 发布日期:2012-11-22
    • 文件大小:57344
    • 提供者:lsw59
  1. 基于VHDL语言的数字时钟设计

  2. 基于VHDL的数字时钟课程设计,适用大学的电子设计自动化等方面的课程设计。
  3. 所属分类:专业指导

  1. VHDL数字时钟课程设计

  2. 本资源包括 数字钟的基本工作原理、数字钟设计的电路原理图、VHDL设计程序
  3. 所属分类:硬件开发

    • 发布日期:2015-05-03
    • 文件大小:72704
    • 提供者:baidu_27788603
  1. VHDL数字时钟设计

  2. 基于VHDL的数字时钟设计,可在quatus2上编译,用于FPGA开发入门。
  3. 所属分类:硬件开发

    • 发布日期:2015-07-14
    • 文件大小:9216
    • 提供者:u012851311
  1. 基于VHDL的数字时钟设计

  2. 这是vhdl设计数字时钟设计,包括如何除去抖动,怎样去设计时钟等等
  3. 所属分类:硬件开发

    • 发布日期:2015-11-08
    • 文件大小:7340032
    • 提供者:huledebing
  1. VHDL数字时钟 EDA 设计 电子钟

  2. VHDL 数字时钟源代码 具有较时功能,带秒表 分频,电子自动化设计练习
  3. 所属分类:专业指导

    • 发布日期:2008-11-24
    • 文件大小:4096
    • 提供者:eric43
  1. vhdl数字时钟设计

  2. 1)具有时、分、秒计数显示功能,以24小时循环计时。 2)时钟计数显示时有LED灯的花样显示。 3)具有调节小时、分钟及清零的功能。 4)具有整点报时功能。
  3. 所属分类:专业指导

    • 发布日期:2008-12-06
    • 文件大小:153600
    • 提供者:iueqha
  1. 基于硬件描述语言VHDL的数字时钟设计

  2. 这个设计很不错 与大家分享一下 硬件描述语言VHDL的数字时钟设计
  3. 所属分类:专业指导

    • 发布日期:2008-12-30
    • 文件大小:91136
    • 提供者:lizhangmeimei
« 12 3 4 5 6 7 8 »