您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. vhdl-lib文件库

  2. VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescr iption Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,IEEE-1076(简称87版)之后,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口
  3. 所属分类:其它

    • 发布日期:2011-05-24
    • 文件大小:754688
    • 提供者:a403927204
  1. ModelSim 编译 Xilinx库.doc

  2. ModelSim是Mentor Graphics出品的一款VHDL、Verilog、SystemC、SystemVerilog以及混合语言设计的仿真和验证工具。在ModelSim中,所有的设计(包括用户设计以及EDA工具预先提供的设计)必须编译成一个或多个仿真库。ModelSim的仿真库实际是一个目录,它可以看作是存储经过编译的设计单元的“仓库”。ModelSim的仿真库可以分成两类:(1) 本地工作库;(2) 资源库。本地工作库随着我们设计的更新和编译发生变化,可以说,它是动态的(work
  3. 所属分类:硬件开发

    • 发布日期:2019-05-23
    • 文件大小:151552
    • 提供者:drjiachen
  1. 硬件描述语言Coding规范.pdf

  2. 经常看到C、C++等高级语言有很多规范,实际上在编写硬件描述语言程序的时候,如果按一定的规范去做,会减少很多错误的发生,起到事半功倍的效果。Opencores HDL modeling guidelines Table of contents Introduction Before you start Specification Document Design Document. Subversion (SvN) and Team Work Verification Directory stru
  3. 所属分类:硬件开发

    • 发布日期:2019-08-24
    • 文件大小:296960
    • 提供者:dualing
  1. FPGA-LPLIB_GP:具有通用块的VHDL设计存储库。 可以将不同单元视为任何设计的微型IP核-源码

  2. FPGA-LPLIB_GP 具有通用块的VHDL设计存储库。 对于任何设计,可以将不同的单元视为微型IP核。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_gp.lst lib.lplib_gp_verif.lst 子模块
  3. 所属分类:其它

    • 发布日期:2021-02-16
    • 文件大小:12288
    • 提供者:weixin_42097450
  1. FPGA-LPLIB_ALU:具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu块)的VHDL设计存储库-源码

  2. FPGA-LPLIB_ALU 具有算术和逻辑单元(例如加法器,计数器,lfsr,移位器和通用alu模块)的VHDL设计存储库。 目录 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用程序。 图书馆 lib.lplib_alu.lst lib.lplib_alu_verif.ls
  3. 所属分类:其它

    • 发布日期:2021-02-08
    • 文件大小:29696
    • 提供者:weixin_42108054
  1. FPGA-LPLIB_MEM:VHDL设计库具有免技术的FPGA存储器模型,例如RAM,ROM,FIFO和缓冲区-源码

  2. FPGA-LPLIB_MEM VHDL设计存储库具有免技术的FPGA存储器模型,例如RAM,ROM,FIFO和缓冲区。 这些模型针对不同的硬件平台进行了综合,以比较推断的技术。 目录 doc/包含有关数字设计和模块的注释和文档。 hdl/包含用于FPGA设计和测试平台的VHDL源。 list/包含* .lst文件,其中包含要编译的源路径。 用于Aldec Riviera-PRO,HDL模拟器的rundir_riviera/ rundir。 scr ipt_bash/通用bash脚本实用
  3. 所属分类:其它

    • 发布日期:2021-03-31
    • 文件大小:8388608
    • 提供者:weixin_42144086