您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法1.pdf

  2. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法1.pdf
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:1048576
    • 提供者:hglikun
  1. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法2.pdf

  2. Xilinx FPGA原语的使用方法pdf\Xilinx原语的使用方法2.pdf
  3. 所属分类:硬件开发

    • 发布日期:2010-07-13
    • 文件大小:1048576
    • 提供者:hglikun
  1. xilinx原语的使用方法.pdf

  2. xilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdfxilinx原语的使用方法.pdf
  3. 所属分类:硬件开发

    • 发布日期:2017-09-04
    • 文件大小:2097152
    • 提供者:tengjiexx
  1. Xilinx原语的使用方法.pdf

  2. pdf带书签,查找方便,讲解清楚明了 ,有关bufg,bufiO,bufr,dsp48,DCM,时钟和IO的buf原语使用。
  3. 所属分类:电信

    • 发布日期:2020-05-31
    • 文件大小:1048576
    • 提供者:dreamfly5945
  1. xilinx原语的使用方法.pdf

  2. 原语是FPGA厂商针对其器件特征开发的一系列常用模块的名称。原语是FPGA芯片中基本元件,代表FPGA中实际拥有的硬件逻辑单元,如LUT,D触发器,RAM等。相当于软件中的机器语言。原语在设计中可以直接例化使用,是最直接的代码输入方式
  3. 所属分类:嵌入式

    • 发布日期:2020-12-03
    • 文件大小:2097152
    • 提供者:zedder