您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 使用Verilog设计一个冯诺依曼结构的CPU
  所属分类: 硬件开发
  开发工具:
  文件大小: 4mb
  下载次数: 0
  上传时间: 2021-03-14
  提 供 者: weixin_********
 详细说明:使用Verilog设计一个冯诺依曼结构的CPU,实现以下4条指令: (1)addi: (rd) <- (rs) + imm (2)lw: (rd) <- memory((rs) + imm) (3)sw: memory((rd) + imm) <- (rs) (4)add: (rd) <- (rd) +(rs) CPU包含以下模块: (1)存储器Memory (2)时序信号产生模块CLOCK (3)取指令模块IFU (4)通用寄存器GR (5)ALU (6)控制器controller (7)CPU 实验报告包含设计思路描述、程序代码说明、模拟图及分析。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: