您好,欢迎光临本网站![请登录][注册会员]  
文件名称: GPIB接口的FPGA实现
  所属分类: 其它
  开发工具:
  文件大小: 153kb
  下载次数: 0
  上传时间: 2021-02-03
  提 供 者: weixin_********
 详细说明:摘   要:GPIB接口是测试仪器中常用的接口方式。通过将接口设计分解为同步状态机设计和寄存器读写电路设计,采用Verilog语言实现了满足IEEE488.1协议的IPCore设计。将此IPCore固化到FPGA芯片中即可实现GPIB各种接口功能。关键词:GPIB接口;状态机;FPGA引言在自动测试领域中,GPIB通用接口是测试仪器常用的接口方式,具有一定的优势。通过GPIB组建自动测试系统方便且费用低廉。而GPIB控制芯片是自动测试系统中的关键芯片,此类芯片只有国外少数公司能生产,不仅价格昂贵,而且购买不便。因此,GPIB接口的FPGA实现具有很大的实用价值。本文论述的是采用Verilog语
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: GPIB接口的FPGA实现
 输入关键字,在本站1000多万海量源码库中尽情搜索: