您好,欢迎光临本网站![请登录][注册会员]  
文件名称: EDA典型单元电路的异步计数器
  所属分类: 其它
  开发工具:
  文件大小: 297kb
  下载次数: 0
  上传时间: 2021-01-19
  提 供 者: weixin_********
 详细说明:异步计数器又称行波计数器,它的下一位计数器的输出作上一位计数器的时钟信号,串行连接起来就构成了一个异步计数器。异步计数器与同步计数器不同之处就在于时钟脉冲的提供方式,但是,由于异步计数器采用行波计数,从而使计数延迟增加,在要求延迟小的领域受到了很大限制。尽管如此,由于它的电路简单,仍有广泛的应用。   【例】用VHDL语言设计一个由8个触发器构成的8位二进制异步计数器,并使用MAX+p1us Ⅱ进行仿真。   仿真结果如图所示。   如图 8位二进制异步计数器RPLCONT的仿真波形图    :
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: