您好,欢迎光临本网站![请登录][注册会员]  
文件名称: FPGA和CPLD内部自复位电路设计方案
  所属分类: 其它
  开发工具:
  文件大小: 147kb
  下载次数: 0
  上传时间: 2021-01-19
  提 供 者: weixin_********
 详细说明:本文描述了复位的定义,分类及不同复位设计的影响,并讨论了针对FPGA和CPLD的内部自复位方案。   1、定义   复位信号是一个脉冲信号,它会使设计的电路进入设定的初始化状态,一般它作用于寄存器,使寄存器初始化为设定值;其脉冲有效时间长度必须大于信号到达寄存器的时延,这样才有可能保证复位的可靠性。   下面将讨论FPGA/CPLD的复位电路设计。   2、分类及不同复位设计的影响   根据电路设计,复位可分为异步复位和同步复位。   对于异步复位,电路对复位信号是电平敏感的,如果复位信号受到干扰,如出现短暂的脉冲跳变,电
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索:
 输入关键字,在本站1000多万海量源码库中尽情搜索: