您好,欢迎光临本网站![请登录][注册会员]  
文件名称: open-source-fpga-resource:与开源FPGA项目相关的资源列表-源码
  所属分类: 其它
  开发工具:
  文件大小: 2kb
  下载次数: 0
  上传时间: 2021-04-01
  提 供 者: weixin_********
 详细说明:开源FPGA基金会的相关项目 硬件基础架构 OpenFPGA项目 屡获殊荣的开源FPGA IP生成器,支持高度可定制的同类FPGA架构Github存储库: : 工装 Verilog到路由(VTR)项目 Verilog到路由(VTR)项目是一项全球性的合作,旨在为进行FPGA体系结构和CAD研究与开发提供一个开放源代码框架。 VTR设计流程将数字电路的Verilog描述和目标FPGA架构的描述作为输入。 Github存储库: : Symbiflow SymbiFlow是用于多家供应商的FPGA开发的完全开源工具链。 目前,它针对Xilinx 7系列,莱迪思iCE40,莱迪思ECP5 FPGA,QuickLogic EOS S3,并正在逐步扩展以提供全面的端到端FPGA综合流程。 主页: : 优思 Yosys是Verilog RTL综合的框架。 它目前具有广泛的Verilog
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索:
 输入关键字,在本站1000多万海量源码库中尽情搜索: