您好,欢迎光临本网站![请登录][注册会员]  

行业下载,电信下载列表 第2903页

« 1 2 ... .98 .99 .00 .01 .02 2903.04 .05 .06 .07 .08 ... 3426 »

[电信] 智能型充电器电源和显示的设计

说明: 智能型充电器电源和显示的设计这个设计中包含了完整的源代码,使用说明,设计论文,文档一一齐全,供大家学习参考
<gjqi12> 在 上传 | 大小:1048576

[电信] 基于GSM模块的车载防盗系统设计

说明: 基于GSM模块的车载防盗系统设计主要是一些这方面很经典的资料提供给大家分享,希望大家喜欢。。。。
<gjqi12> 在 上传 | 大小:544768

[电信] H264Visa 看264文件很好用的软件

说明: 264码流分析软件,看264文件很好用的软件
<happyjinzhiyan> 在 上传 | 大小:782336

[电信] Parallel computing of discrete element method on multi-core processors

说明: Parallel computing of discrete element method on multi-core processors
<call_forever> 在 上传 | 大小:956416

[电信] DSP算法应用与设计

说明: dsp算法与设计讲述了dsp及其使用,dsp算法工具箱,和算法应用相关实例
<nijie1991> 在 上传 | 大小:48234496

[电信] s端子转换线

说明: 自制已验证,大家可以使用一下。可以联系qq:892820251
<julucai> 在 上传 | 大小:52224

[电信] 动态规划方法实现的目标分配

说明: 动态规划方法实现的目标分配,有需要的可以下载,谢谢
<hb830513> 在 上传 | 大小:354304

[电信] orcad v16.5 crack

说明: orcad v16.5 crack 修正 破解 方法 支持 windows 7 果断从国外网上拖了一个pubkey的破解文件 附上安装方法
<suzhou824102549> 在 上传 | 大小:6291456

[电信] 一个简单的手机PCB板,还有原理图

说明: 一个简单的手机PCB板,还有原理图 可以用来学习,
<a13751156597> 在 上传 | 大小:523264

[电信] n900刷机软件

说明: 可以看系统盘其他文件,安装到sd卡根目录即可。
<miaoji008> 在 上传 | 大小:2048

[电信] TW6802软件

说明: TW6802b芯片监控采集卡软件及驱动,适用于多数采集卡,自己测试可用
<xrw1612> 在 上传 | 大小:4194304

[电信] 基于FPGA的VGA显示

说明: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity russia is port(clk:in std_logic; reset:in std_logic; left:in std_logic; right:in std_logic; scores:out integer range 0 to 15; sta0:out std_logic_vector(0 to 3); sta1:
<zyc523877693> 在 上传 | 大小:4194304
« 1 2 ... .98 .99 .00 .01 .02 2903.04 .05 .06 .07 .08 ... 3426 »