您好,欢迎光临本网站![请登录][注册会员]  

课程资源下载,软件测试下载列表 第2364页

« 1 2 ... .59 .60 .61 .62 .63 2364.65 .66 .67 .68 .69 ... 2488 »

[软件测试] pads9.02CRK

说明: 9.02破解程序.未测试。请大家一起测试
<yuxiaohu1890> 在 上传 | 大小:1048576

[软件测试] 千千静听 安装程序

说明: 千千静听百科名片 千千静听是一款完全免费的音乐播放软件,集播放、音效、转换、...8.安装程序支持可选的卸载功很好用的音乐播放器 支持多格式,
<daodexinyang> 在 上传 | 大小:3145728

[软件测试] 网络分析仪HP8753中文使用指南

说明: 网络分析仪HP8753中文使用指南,帮助你正确使用网络分析仪。
<lvjiangtao1009> 在 上传 | 大小:4194304

[软件测试] 铰链四连杆机构matlab运动学分析

说明: 平面四连杆机构的MATLAB运动学分析及M文件代码
<q20070970> 在 上传 | 大小:142336

[软件测试] eda实验修改7段码的值,来显示你要显示的数

说明: 你可以修改7段码的值,来显示你要显示的数--本实验,按下板上的四个KEY时,数码管显示得到的数据 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity decl7s is
<sky007lh> 在 上传 | 大小:804

[软件测试] 超级无敌好用的点名程序

说明: 一个很好用的点名软件,可导入点名册,自动发声
<EdwaiQ> 在 上传 | 大小:1048576

[软件测试] MCU_51_V2 原理图及原件组成

说明: MCU_51_V2原理图及原件组成 分每个模块不同
<Ahiols> 在 上传 | 大小:92160

[软件测试] 所有TSP LIB数据

说明: 所有TSP测试数据,可以用记事本或是Excel方式打开,包括各个城市位置数据,以及最优解~~文件名后面的数字表示城市数,如ATT48表示有48个城市~~~
<icecontrol> 在 上传 | 大小:1048576

[软件测试] 软件工程试卷及答案(多套)

说明: 主要是软件工程相关的试题及答案,希望能对需要的朋友有帮助
<mindayl> 在 上传 | 大小:236544

[软件测试] 软件测试黑盒测试练习题目

说明: 将一些我曾遇到的黑盒测试题目与大家分享一下,希望可以帮到你们
<jingjia_xc> 在 上传 | 大小:291840

[软件测试] vbscript的学习QTP

说明: vbscr ipt,可以更好的使用QTP总强脚本
<blue1143> 在 上传 | 大小:329728

[软件测试] 软件系统测试常用测试点

说明: 介绍系统常用功能、控件的测试点,例如登陆、添加、查询 、删除等,控件如文本框、按钮等等控件测试
<nylgljj> 在 上传 | 大小:46080
« 1 2 ... .59 .60 .61 .62 .63 2364.65 .66 .67 .68 .69 ... 2488 »