您好,欢迎光临本网站![请登录][注册会员]  

移动开发下载,其它下载列表 第4528页

« 1 2 ... .23 .24 .25 .26 .27 4528.29 .30 .31 .32 .33 ... 4676 »

[其它] 本科生自荐信 模板 通信专业

说明: 尊敬的领导: 您好!很荣幸您能在百忙之中翻阅我的自荐信,谢谢! 我是内蒙古大学电子信息工程学院通信工程专业2011届的一名毕业生,即将面临毕业。大学四年,为我奠定了扎实的专业理论基础,良好团队协作精神及务实的工作作风,以下是对我大学四年的介绍。 ★理论学习 在校期间,成绩优良,具备了扎实的业专基础知识,系统地掌握了信号与系
<syhhhh> 在 上传 | 大小:24576

[其它] phison-UP10量产工具v1.78.rar

说明: phison-UP10量产工具v1.78.rar phison-UP10量产工具v1.78.rar phison-UP10量产工具v1.78.rar phison-UP10量产工具v1.78.rar phison-UP10量产工具v1.78.rar
<jiguibin00> 在 上传 | 大小:765952

[其它] ZIGBEE WSN无线三轴重力加速度传感器网络节点程序.rar

说明: ZIGBEE WSN无线三轴重力加速度传感器网络节点程序.rar
<zhaowy2008> 在 上传 | 大小:300032

[其它] 图片批量处理工具batch expert

说明: 图片批量处理工具 图片批量处理工具 图片批量处理工具 图片批量处理工具 图片批量处理工具 图片批量处理工具
<songsong108> 在 上传 | 大小:368640

[其它] ZTE-T U210 JoinME.rar

说明: ZTE-T U210 JoinME.rar驱动
<haiduk2006> 在 上传 | 大小:7340032

[其它] QXDM工具使用指导书.rar

说明: 使用QXDM的兄弟姐妹们必备 中文华为版
<simple3210> 在 上传 | 大小:1048576

[其它] DNW具有串口和USB功能

说明: 嵌入式串口通信,进行串口调试,USB下载烧录工具
<bbcfree> 在 上传 | 大小:35840

[其它] eda数字时钟的设计

说明: library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all;
<yangkaibvv1> 在 上传 | 大小:232448

[其它] ckeditor下载资源

说明: ckeditor是一款ASP.NET开发的插件,相当于一个控件 能够上传文件等功能
<wdsz1026103655> 在 上传 | 大小:2097152

[其它] jQuery-ui下载资源

说明: 是一款jQuery的插件 非常好用 自带了很多功能
<wdsz1026103655> 在 上传 | 大小:1048576

[其它] 稳压器BL8503的中文资料

说明: 详细介绍了BL8503的各种参数,参数的仿真图,以及应用连接图。
<hexiechina2010> 在 上传 | 大小:284672

[其它] eclipseeclipseeclipse

说明: eclipseeclipseeclipseeclipseeclipse
<zhengyuqing52306> 在 上传 | 大小:1048576
« 1 2 ... .23 .24 .25 .26 .27 4528.29 .30 .31 .32 .33 ... 4676 »