您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 用verilog语言实现自动售货机
  所属分类: 硬件开发
  开发工具:
  文件大小: 2kb
  下载次数: 0
  上传时间: 2014-07-03
  提 供 者: berli*****
 详细说明: 用verilog语言实现自动售货机。 本代码的输入信号有clk ,money ,sel ,order ,back ,left1 ,left2 ,left3 ,left4。clk代表时钟信号,money代表顾客投入的钱币,sel为顾客选择的货物,order为确认所选货物选项,back为返回初始状态选项,left1为第一种货物的剩余量,left2为第二种货物的剩余量,left3为第三种货物的剩余量,left4为第四种货物的剩余量。 输出信号为money_left ,out_num1 ,out_num2 ,out_num3 ,out_num4。money_left为找零数目,out_num1为第一种货物的出货量,out_num2为第二种货物的出货量,out_num3为第三种货物的出货量,out_num4为第四种货物的出货量。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: verilog 自动售货机
 输入关键字,在本站1000多万海量源码库中尽情搜索: