您好,欢迎光临本网站![请登录][注册会员]  
文件名称: VHDL编程实例——CPLD/FPGA
  所属分类: C
  开发工具:
  文件大小: 278kb
  下载次数: 0
  上传时间: 2009-11-07
  提 供 者: mengyi*******
 详细说明: 微机系统中为了产生有效的系统总线及满足具体接口芯片的时序要求需要一些门电路作为接口,通常采用如74系列、40系列等分离元件实现,这种类型的接口电路庞大复杂,不便集成和修改,也给元件之间的匹配带来困难。在CPLD 技术相当成熟的今天,采用CPLD代替传统分离元器件,完成微型计算机的逻辑转换、地址译码、数据锁存等接口任务, 电路简洁, 将会极大地减小PCB 尺寸, 提高系统的整体性能,节约成本。VHDL就是这个产品的基础,与c语言的思想是一致的
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: VHDL
 输入关键字,在本站1000多万海量源码库中尽情搜索: