您好,欢迎光临本网站![请登录][注册会员]  
文件名称: Uart IP core
  所属分类: 硬件开发
  开发工具:
  文件大小: 9kb
  下载次数: 0
  上传时间: 2009-11-10
  提 供 者: snoo****
 详细说明: 串行通信IP核 用于FPGA -- File Name: Baud_rate_generator.vhd -- Function: Baud rate generator for uart communication -- System clock:32MHz -- ************************************************************ -- 7.2 Build 11/02/2009 Full Version 1.0 -- Copyright for limang -- ************************************************************ library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; -- ************************************************************ -- Port Description -- clk: System clock -- data: Decision the Baud rate -- uart_clk: Clock output for uart -- ************************************************************ entity Baud_rate_generator is port ( clk:in std_logic; data:in std_logic_vector (3 downto 0); uart_clk:out std_logic ); end entity Baud_rate_generator; --*********************** -- Baud_rate for input --*********************** --| data | Baud_rate | --| 0000 | 1200 | --| 0001 | 2400 | --| 0010 | 4800 | --| 0011 | 9600 | --| 0100 | 14400 | --| 0101 | 19200 | --| 0110 | 28800 | --| 0111 | 38400 | --| 1000 | 57600 | --| 1001 | 115200 | --|others| for updata | --*********************** architecture behave of Baud_rate_generator is constant system_clock:integer:=32e6; signal divisor:integer range 4444 downto 46; signal cnt:integer range 4444 downto 0:=0; signal clk_tmp:std_logic; begin process(data) begin case data is when "0000" => divisor<=system_clock/7200; when "0001" => divisor<=system_clock/14400; when "0010" => divisor<=system_clock/28800; when "0011" => divisor<=system_clock/57600; when "0100" => divisor<=system_clock/86400; when "0101" => divisor<=system_clock/115200; when "0110" => divisor<=system_clock/172800; when "0111" => divisor<=system_clock/230400; when "1000" => divisor<=system_clock/345600; when "1001" => divisor<=system_clock/691200; when others => null; end case; end process; process(clk) begin if clk'event and clk='1' then cnt<=cnt+1; if cnt=divisor-1 then clk_tmp<=not clk_tmp; cnt<=0; end if; end if; end process; uart_clk<=clk_tmp; end architecture behave; ...展开收缩
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: UART IP CORE
 输入关键字,在本站1000多万海量源码库中尽情搜索: