您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 呼叫系统块等配合来实行现
  所属分类: 专业指导
  开发工具:
  文件大小: 37kb
  下载次数: 0
  上传时间: 2010-01-04
  提 供 者: gan****
 详细说明: 本设计是是要实现一个分优先级的呼叫系统。通过优先编码器74148来模拟病房号编码,再通过译码显示器显示最高优先级的病房号。当有病房呼叫时信号通过逻辑门低电平触发器由555构成的单稳态触发器而发出5秒的呼叫声。由呼叫信号控制晶闸管从而控制对应病房报警灯的关亮。以上按复位键R可复位。整个系统可拆分成三个模块:5秒呼叫模块、呼叫显示模块、优先显示模块,这些可以完成本次设计的基本功能。同是还需要存储处理模块与优先显示模块等配合来实行现
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: