您好,欢迎光临本网站![请登录][注册会员]  
文件名称: VHDL编写的自动售货机
  所属分类: 硬件开发
  开发工具:
  文件大小: 7mb
  下载次数: 0
  上传时间: 2010-02-28
  提 供 者: cross*****
 详细说明: VHDL编写的自动售货机 设计FPGA模块模拟自动售货机的工作过程,要求如下(1)售货机有两个进币孔, 可以输入硬币和纸币,售货机有两个进币孔,一个是输入硬币,一个是输入纸币, 硬币的识别范围是5角和1 元的硬币,纸币的识别范围是1 元、5 元,10 元,20 元,50元,100元。乘客可以连续多次投入钱币。(2)顾客可以选择的商品种类 有16种,价格分别为1-16元,顾客可以通过输入商品的编号来实现商品的选择。 即有一个小键盘(0-9按键)来完成,比如输入15时要先输入1,再输入5。(3) 顾客选择完商品后,可以选择需要的数量。然后可以继续选择商品及其数量,每次 可以选择最多三个商品。然后显示出所需金额。顾客此时可以投币,并且显示已经 投币的总币值。当投币值达到或超过所需币值后,售货机出货,并扣除所需金额, 并找出多余金额。在投币期间,顾客可以按取消键取消本次操作,钱币自动退出。
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 相关搜索: VHDL
 输入关键字,在本站1000多万海量源码库中尽情搜索: