您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 汽车尾灯课程设计 VHDL EDA
  所属分类: 嵌入式
  开发工具:
  文件大小: 227kb
  下载次数: 0
  上传时间: 2010-06-09
  提 供 者: a251*****
 详细说明:根据现代交通规则,汽车尾灯控制器应满足以下基本要求: 1.汽车正常使用是指示灯不亮 2.汽车右转时,右侧的一盏灯亮 3.汽车左转时,左侧的一盏灯亮 4.汽车刹车时,左右两侧的指示灯同时亮 5.汽车夜间行驶时,左右两侧的指示灯同时一直亮,供照明使用 1.引 言 1 1.1设计的目的 1 1.2设计的基本内容 1 1.3 EDA的介绍 1 1.3.1 EDA技术的概念 1 1.3.2 EDA技术的特点 2 1.3.3 EDA设计流程 2 1.4硬件描述语言(VHDL) 2 1.4.1 VHDL的介绍 2 1.4.2 VHDL语言的特点 3 2.总体设计 4 2.1需求分析 4 2.2汽车尾灯控制器的工作原理 4 2.3 汽车运行状态表和总体框图 5 3.详细设计 6 3.1各组成模块 6 3.2时钟分频模块 6 3.3 汽车尾灯主控模块 6 3.4左边灯控制模块 7 3.5右边灯控制模块 9 4.系统仿真与调试 10 4.1分频模块仿真及分析 10 4.2汽车尾灯主控模块仿真及分析 10 4.3左边灯控制模块仿真及分析 11 4.4右边灯控制模块仿真及分析 11 4.5整个系统仿真及分析 12 4.6 总体设计电路图 12 总结 13 参考文献 14
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: