您好,欢迎光临本网站![请登录][注册会员]  
文件名称: FPGA控制LCD1602字符显示
  所属分类: 其它
  开发工具:
  文件大小: 871kb
  下载次数: 0
  上传时间: 2021-01-06
  提 供 者: weixin_********
 详细说明:1.LCD1602(DDRAM)的外形是这样子滴: 背面: 可见区范围:16:heavy_multiplication_x:2行;可通过“光标或显示移动指令”使字符慢慢移动到可见的显示范围内,看到字符的移动效果。 管脚分配: 2.LCD配置: (1)通用配置 /*清屏 */ /*置输入模式 */ /*显示开关控制 */ /*置功能 */ (2)显示数据 只配置前16个地址(如下),用于显示: 之后逐次配置第一行、第二行的地址和数据: /*置第一行地址 */ /*置第一行数据 */ /*置第二行地址 */ /*置第二行数据 */ 3.FPGA实现: module LCD1602( input clk, input rst
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: