您好,欢迎光临本网站![请登录][注册会员]  
文件名称: 简单4个8位存储器读写verilog实现
  所属分类: 其它
  开发工具:
  文件大小: 155kb
  下载次数: 0
  上传时间: 2021-01-06
  提 供 者: weixin_********
 详细说明:本文主要思路是建立一个4个8位寄存器,然后在顶层文件中对这四个寄存器写入数值,最后在四个存储器中读取数值。 其模块框图如下: 下面是verilog代码实现: (1)存储器模块 module device_regs(clk,reset,data_in,data_adr,wr_en,rd_en,read_data); input clk,reset; input wr_en, rd_en; input [7:0] data_in; input [1:0] data_adr; output [7:0] read_data; reg [7:0] reg0,reg1,reg2,reg3; wire [7
(系统自动生成,下载前可以参看下载内容)

下载文件列表

相关说明

  • 本站资源为会员上传分享交流与学习,如有侵犯您的权益,请联系我们删除.
  • 本站是交换下载平台,提供交流渠道,下载内容来自于网络,除下载问题外,其它问题请自行百度
  • 本站已设置防盗链,请勿用迅雷、QQ旋风等多线程下载软件下载资源,下载后用WinRAR最新版进行解压.
  • 如果您发现内容无法下载,请稍后再次尝试;或者到消费记录里找到下载记录反馈给我们.
  • 下载后发现下载的内容跟说明不相乎,请到消费记录里找到下载记录反馈给我们,经确认后退回积分.
  • 如下载前有疑问,可以通过点击"提供者"的名字,查看对方的联系方式,联系对方咨询.
 输入关键字,在本站1000多万海量源码库中尽情搜索: