您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 用Verilog HDL语言实现并串、串并接口的转换.pdf

  2. 用Verilog HDL语言实现并串、串并接口的转换.pdf
  3. 所属分类:专业指导

    • 发布日期:2010-02-08
    • 文件大小:167936
    • 提供者:h490213220
  1. FPGA串并转换设计技巧

  2. 打包压缩的 包括: FPGA 设计的四种常用思想与技巧.pdf 一些提高fpga运行速度的方法.doc 用VERILOG HDL语言实现并串、串并接口的转换.PDF
  3. 所属分类:硬件开发

    • 发布日期:2010-04-12
    • 文件大小:276480
    • 提供者:yaoxw062240
  1. 串口通信程序应用剖析

  2. 计算机通信是指计算机与外部设备、计算机与计算机之间进行的数据传输和交换。从通 信方式上可以把计算机通信分为并行通信和串行通信,其中串行通信指的是数据一位一位传 输的方式。与数据多位同时传输的并行通信相比,串行通信具有占用硬件资源少的优点,特 别适用于远程通信;但是由于数据在计算机内部是并行传送和处理的,串行通信必需进行串/ 并转换和并/串转换,所以增加了设备的复杂性和通信的时间开销,也即在相等条件下串行通 信比并行通信慢。
  3. 所属分类:专业指导

    • 发布日期:2010-05-10
    • 文件大小:940032
    • 提供者:just_4_you
  1. 用Verilog+HDL语言实现并串、串并接口的转换

  2. CPU与外部通信时有串口和并行传输两者模式,通过硬件语言实现并串、串并接口的转换
  3. 所属分类:专业指导

    • 发布日期:2010-05-20
    • 文件大小:167936
    • 提供者:fhh434102
  1. 汇编 从键盘输入一串英文字母,分别将其转换为大写、小写并输出

  2. 汇编 从键盘输入一串英文字母,分别将其转换为大写、小写并输出,一个小程序 仅供初学者参考
  3. 所属分类:硬件开发

    • 发布日期:2010-11-20
    • 文件大小:1024
    • 提供者:liutong910525
  1. FPGA设计技巧(串并转换)

  2. 本文讨论的四种常用FPGA/CPLD 设计思想与技巧:乒乓操作、串并转换、流水线操作、数据 接口同步化,都是FPGA/CPLD 逻辑设计的内在规律的体现,合理地采用这些设计思想能在 FPGA/CPLD 设计工作种取得事半功倍的效果。
  3. 所属分类:硬件开发

    • 发布日期:2010-12-17
    • 文件大小:113664
    • 提供者:drlowa
  1. 串并-并串转换

  2. 用VHDL描述的串并、并串转换 经过啦仿真验证,可以成功的实现转换
  3. 所属分类:硬件开发

    • 发布日期:2011-12-19
    • 文件大小:206848
    • 提供者:guangdianlym
  1. FPGACPLD设计思想与技巧.doc

  2. 本文讨论的四种常用FPGA/CPLD设计思想与技巧:乒乓操作、串并转换、流水线操作、数据接口同步化,都是FPGA/CPLD逻辑设计的内在规律的体现,合理地采用这些设计思想能在FPGA/CPLD设计工作中取得事半功倍的效果。
  3. 所属分类:硬件开发

    • 发布日期:2012-09-01
    • 文件大小:137216
    • 提供者:nizhenniu2012
  1. 嵌入式模数转换

  2. 目前单片机在电子产品中已得到广泛应用,许多类型的单片机内部已带有A/D与D/A转换电路。在此次A/D转换设计中,我们通过对A/D转换器基本原理的应用,在单片机系统上扩展A/D转换器,掌握了A/D转换芯片0809的性能及编程,从而更加清楚了单片机是如何工作运行来采集数据的。总体设计过程通过资料搜集、课题讨论、程序设计、运行仿真和实验总结这几个步骤完成的。 此设计中利用实验系统中的ADC0809模块,从电位器提供模拟量输入,编制A/D转换程序,将模拟量转换成二进制数字量,通过串并转换电路转换成数字
  3. 所属分类:嵌入式

    • 发布日期:2012-11-28
    • 文件大小:167936
    • 提供者:aotuman111
  1. 用VERILOG HDL语言实现并串、串并接口的转换

  2. 用VERILOG HDL语言实现并串、串并接口的转换,很好地学习资料,你值得一看!
  3. 所属分类:硬件开发

    • 发布日期:2013-06-02
    • 文件大小:167936
    • 提供者:u010882690
  1. 带有起始位的FPGA串转并 点灯程序

  2. 在实际产品开发中,需要进行点LED灯,但是芯片厂家为了节约端口,有时候会给出串行且软件寄存器可配置的数据流,然后硬件外接芯片实现转换成并行再去点灯。此时若系统内有FPGA或者CPLD就可以用它们来完成串并转换。本测试代码完成了带有起始位的串并转换,串行数据位数可以自行修改。
  3. 所属分类:硬件开发

    • 发布日期:2014-12-04
    • 文件大小:187392
    • 提供者:hhu_2012
  1. 用VERILOG HDL语言实现并串、串并接口的转换

  2. 用VERILOG HDL语言实现并串、串并接口的转换,在微型计算机系统中,CPU 与外部的基本通信方式有两种, 一种是并行通信即数据的各位同 时传送,其优点是传输速度较快,但数据有多少位就需要多少条传送线; 而串行通信 中数据一位一位顺序传 送 ,能节省传送 线. 用 V ef ilog H DL 语言实现 了串并、并 串通信接 口之 间的转换.
  3. 所属分类:硬件开发

    • 发布日期:2015-08-19
    • 文件大小:167936
    • 提供者:qq_30713429
  1. FPGA乒乓操作及串并转换设计篇

  2. 本文讨论的四种常用 FPGA/CPLD设计思想与技巧: 乒乓操作、 串并转换、 流水线操作、 数据接口同步化, 都是 FPGA/CPLD 逻辑设计的内在规律的体现, 合理地采用这些设计思想能在FPGA/CPLD设计工作种取得事半功倍的效果。FPGA/CPLD的设计思想与技巧是一个非常大的话题, 由于篇幅所限, 本文仅介绍一些常用的设计思想与技巧, 包括乒乓球操作、 串并转换、 流水线操作和数据接口的同步方法。 希望本文能引起工程师们的注意, 如果能有意识地利用这些原则指导日后的设计工作, 将取
  3. 所属分类:硬件开发

    • 发布日期:2018-09-15
    • 文件大小:202752
    • 提供者:qq_38352854
  1. EMOD T01以太网串口转换模块产品说明书.pdf

  2. EMOD T01以太网串口转换模块产品说明书pdf,EMOD T01以太网串口转换模块产品说明书广州恩浦电子有限公司 功能简介 概述 貊着工业自动化的不断发展,智能楼宇门禁产品的普遍使用,电子技术在智能家居等行 业的广泛应用,越来越多的串口设备需要接入到局域网和互联网。随着物联网概念的提出并 被市场所认可,大量的串口设备需要接入到互联网,开启电子技术发展新的里程碑。 为了串口设备连入局域网或者互联网,需要在设备内部集成 协议栈,这对设备 的运算能力和硬件資源提岀了更咼的要求。市场普遍使用的设备对
  3. 所属分类:其它

    • 发布日期:2019-10-14
    • 文件大小:1010688
    • 提供者:weixin_38744435
  1. 串口通信实验.docx

  2. 本实验模拟了现代数字逻辑电路中的数据传输过程。运用连续的代表0、1的高低电平作为数字信号,将该数字信号从输出端发送到接收端,并分别利用串行、并行两种锁存、检测。本实验模拟了序列信号的发生装置、串并转换装置、串行并行两种检测方式、锁存输出和控制电路,实现了一个简单的串行口数据传输模型。在此试验中,通过对常见芯片的组合实现功能,将一串由0、1组成的数字信号进行传输、转换、检测,使之显示在数码管上成为可读信息,并且还实现了对此电路显示的控制,使数码管在满足条件的情况下才发光。在实验中,还使用了QUAR
  3. 所属分类:电信

    • 发布日期:2020-06-19
    • 文件大小:7340032
    • 提供者:qq_40029369
  1. 基于不同调制方式(16QAM、64QAM、QPSK)OFDM的MATLAB仿真(内附基本原理+程序)(txt为微云链接)

  2. 这是一个matlab的OFDM仿真程序。比较16QAM、64QAM与QPSK的误码率性能比较;包括信源、信道的编码、解码、调制与解调、串并/并串转换、保护间隔、插入AWGN等。
  3. 所属分类:互联网

    • 发布日期:2020-07-16
    • 文件大小:58
    • 提供者:qq_41934573
  1. 四种常用FPGA/CPLD设计思想与技巧之串并转换

  2.  FPGA/CPLD 的设计思想与技巧是一个非常大的话题,由于篇幅所限,本文仅介绍一些常用的设计思想与技巧,包括乒乓球操作、串并转换、流水线操作和数据接口的同步。
  3. 所属分类:其它

    • 发布日期:2020-08-04
    • 文件大小:39936
    • 提供者:weixin_38653040
  1. AT89C51串并转换驱动数码管实验指导

  2. AT89C51是一种带4K字节FLASH存储器(FPEROM—Flash Programmable and Erasable Read Only Memory)的低电压、高性能CMOS 8位微处理器,俗称单片机。本文是为AT89C51串并转换驱动数码管做实验指导
  3. 所属分类:其它

    • 发布日期:2020-08-14
    • 文件大小:215040
    • 提供者:weixin_38687539
  1. EDA/PLD中的基于CPLD的串并转换和高速USB通信设计

  2. 摘 要:CPLD可编程技术具有功能集成度高、设计灵活、开发周期短、成本低等特点。介绍基于ATMEL 公司的CPLD芯片ATF1508AS设计的串并转换和高速USB及其在高速高精度数据采集系统中的应用。 关键词:CPLD 串并转换 USB 可编程逻辑器件(PLD)是20世纪70年代在ASIC设计的基础上发展起来的一种划时代的新型逻辑器件。自PLD器件问世以来,制造工艺上采用TTL、CMOS、ECL及静态RAM技术,器件类型有PROM、EPROM、E2PROM、FPLA、PAL、GAL、
  3. 所属分类:其它

    • 发布日期:2020-12-08
    • 文件大小:126976
    • 提供者:weixin_38695061
  1. 通信与网络中的实现基于TCP/IP的多串口转换网关

  2. 随着企业规模的扩大和Internet技术的广泛普及,全球各个领域的不同企业已经对“让设备联网”达成共识,而在工业控制和通信设备中,更多的却是符合RS232标准的串行口设备。如何将多个串行口的数据转发到网络上,实现设备的远程控制、数据的远程传输便成了一个亟待解决的问题。同时,考虑到成本问题,以往设备又不可能全部淘汰,因此,本文提出一种基于TCP/IP的多串口转换网关,可从根本上解决这一难题。    多串口转换网关使得串口数据流到以太网数据流的传输成为可能。它能连接多个RS232串口设备,并将串口数
  3. 所属分类:其它

    • 发布日期:2020-12-13
    • 文件大小:93184
    • 提供者:weixin_38556668
« 12 3 4 5 6 7 8 9 10 ... 50 »