您好,欢迎光临本网站![请登录][注册会员]  

搜索资源列表

  1. 一种基于FPGA的小数分频的实现

  2. 分频器是指将不同频段的声音信号区分开来,分别给于放大,然后送到相应频段的扬声器中再进行重放。在高质量声音重放时,需要进行电子分频处理。具体实现形式有偶数分频、奇数分频、锁相环分频电路等,这种结构的分频器只能实现整数分频,或者是仅实现半整数分频和奇数分频[1],一般的锁相环分频电路会有几十微秒级的频率转换时间[2],虽然现在少数芯片有所改善,但是时间也较长。同时,在某些场合下,所需要的频率与给定的频率并不成整数或半整数倍关系,或需要实现对输入信号频率的微调整,此时可采用小数分频器进行分频[3]。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:302080
    • 提供者:weixin_38695061
  1. 基于CPLD/FPGA的多功能分频器的设计与实现

  2. 分频器在CPLD/FPGA设计中使用频率比较高,尽管目前大部分设计中采用芯片厂家集成的锁相环资源 ,但是对于要求奇数倍分频(如3、5等)、小数倍(如2.5、3.5等)分频、占空比50%的应用场合却往往不能满足要求。硬件工程师希望有一种灵活的设计方法,根据需要,在实验室就能设计分频器并马上投入使用,更改频率时无需改动原器件或电路板,只需重新编程,在数分钟内即可完成。为此本文基于 CPLD/FPGA用原理图和VHDL语言混合设计实现了一多功能通用分频器。
  3. 所属分类:其它

    • 发布日期:2020-10-22
    • 文件大小:112640
    • 提供者:weixin_38601311
  1. 元器件应用中的基于FPGA的通用数控分频器的设计与实现

  2. 摘要:本文首先介绍了各种分频器的实现原理,并在FPGA开发平台上通过VHDL文本输入和原理图输入相结合的方式,编程给出了仿真结果。最后通过对各种分频的分析,利用层次化设计思想,综合设计出了一种基于FPGA的通用数控分频器,通过对可控端口的调节就能够实现不同倍数及占空比的分频器。   1.引言   分频器是数字系统中非常重要的模块之一,被广泛应用于各种控制电路中。在实际中,设计人员往往需要将一个标准的频率源通过分频技术以满足不同的需求。常见的分频形式主要有:偶数分频、奇数分频、半整数分频、小数
  3. 所属分类:其它

    • 发布日期:2020-10-20
    • 文件大小:224256
    • 提供者:weixin_38625143
  1. 基于FPGA的双模前置小数分频器的设计

  2. 频率合成技术是现代通讯系统的重要组成部分,他将一个高稳定和高准确度的基准频率,经过四则运算,产生同样稳定度和基准度的频率。分频器是集成电路中最基础也是最常用的电路。整数分频器的实现比较简单,可采用标准的计数器或可编程逻辑器件设计实现。但在某些场合下,时钟源与所需的频率不成整数倍关系,此时可采用小数分频器进行分频。本文利用VerilogHDL硬件描述语言的设计方式,通过ModelSimSE开发软件进行仿真,设计基于FPGA的双模前置小数分频器。随着超大规模集成电路的发展,利用FPGA小数分频合成技
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:150528
    • 提供者:weixin_38731123
  1. 基于FPGA的小数分频实现方法

  2. 提出了一种基于FPGA的小数分频实现方法。介绍了现有分频方法的局限性,提出一种新的基于两级计数器的分频实现方法,给出该方法的设计原理以及实现框图,利用软件对电路进行仿真,由仿真结果可以看出该方法可有效实现输入信号频率的小数调整,最后分析了方法的优缺点及其应用领域。实验结果表明,设计方法能够高精度地完成对信号频率的微调,并且频率转换时间被缩短到2.56μs。
  3. 所属分类:其它

    • 发布日期:2020-10-24
    • 文件大小:355328
    • 提供者:weixin_38724333
  1. EDA/PLD中的基于CPLD/FPGA的多功能分频器的设计与实现

  2. 引言   分频器在CPLD/FPGA设计中使用频率比较高,尽管目前大部分设计中采用芯片厂家集成的锁相环资源 ,但是对于要求奇数倍分频(如3、5等)、小数倍(如2.5、3.5等)分频、占空比50%的应用场合却往往不能满足要求。硬件工程师希望有一种灵活的设计方法,根据需要,在实验室就能设计分频器并马上投入使用,更改频率时无需改动原器件或电路板,只需重新编程,在数分钟内即可完成。为此本文基于CPLD/FPGA用原理图和VHDL语言混合设计实现了一多功能通用分频器。 分频原理 偶数倍(2N)
  3. 所属分类:其它

    • 发布日期:2020-12-06
    • 文件大小:76800
    • 提供者:weixin_38518638
  1. 基于FPGA的小数分频器的实现

  2. 摘 要:介绍了一种基于FPGA的双模前置小数分频器的分频原理及电路设计,并用VerilogHDL编程,在ModelSimSE平台下实现分频器的仿真,并用Xilinx公司的芯片Spartan3来实现。  关键词:小数分频器;频率合成;FPGA;VerilogHDL  频率合成技术是现代通讯系统的重要组成部分,他将一个高稳定和高准确度的基准频率,经过四则运算,产生同样稳定度和基准度的频率。分频器是集成电路中最基础也是最常用的电路。整数分频器的实现比较简单,可采用标准的计数器或可编程逻辑器件设计实现。
  3. 所属分类:其它

    • 发布日期:2021-02-03
    • 文件大小:166912
    • 提供者:weixin_38705004
  1. 任意数值分频器的FPGA实现

  2. 本文介绍了一种基于FPGA的多数值分频器的设计,该分频器可以实现占空比及分频系数可调,其分频数值可以是整数、小数和分数。文章给出了使用Altera公司的CycloneII系列EP2C5Q208C型FPGA芯片中实现后的仿真结果和测试结果,这些结果表明设计的正确性和可行性。分频器采用VHDL语言编程实现,用户可以自行设置分频器功能,这种分频器设计具有很强的实用性和可移值性。
  3. 所属分类:其它

    • 发布日期:2021-01-29
    • 文件大小:836608
    • 提供者:weixin_38551938
  1. 基于CPLD/FPGA的多功能分频器的设计与实现

  2. 引言   分频器在CPLD/FPGA设计中使用频率比较高,尽管目前大部分设计中采用芯片厂家集成的锁相环资源 ,但是对于要求奇数倍分频(如3、5等)、小数倍(如2.5、3.5等)分频、占空比50%的应用场合却往往不能满足要求。硬件工程师希望有一种灵活的设计方法,根据需要,在实验室就能设计分频器并马上投入使用,更改频率时无需改动原器件或电路板,只需重新编程,在数分钟内即可完成。为此本文基于CPLD/FPGA用原理图和VHDL语言混合设计实现了一多功能通用分频器。 分频原理 偶数倍(2N)
  3. 所属分类:其它

    • 发布日期:2021-01-19
    • 文件大小:75776
    • 提供者:weixin_38626984